Home
Catalog
Electronic Design Automation
Design Analysis
- 1.2 Micron CMOS Mixed-signal Cell Library
- GOAL Semiconductor Inc.: Design and supply mixed signal ASICs.
Products cover: Sensor Readout Electronics, Transceivers, Level Translators,
Switches & Regulators,
Microcontrollers, VoiceROM,
CMOS & Infrared & Visible Detectors
- Description: Mixed-Signal cell library for semi-custom integrated circuit development.
- ADiT
- ACAD, Inc.: Developer of Electronic Design Automation software, with specific focus in the area of design simulation, analysis, and verification.
- Description: Developers of Electronic Design Automation software with specific focus in the area of IC design simulation analysis, and verification.
- ADVance(TM) MS
- Mentor Graphics Corporation: Mentor Graphics Corporation (NASDAQ:MENT) is a world leader in electronic hardware and software design solutions, providing products and consulting services for the worlds largest electronics and semiconductor companies. Established in 1981, the company reported revenues over the last 12 months of $437,751,000 and employs approximately 2,581 people worldwide. Company headquarters are located at 8005 S.W. Boeckman Road, Wilsonville, Oregon 97070-7777. World Wide Web site: http://www.mentorg.com.
- Description: ADVance(TM) platform, a product family designed to meet today's challenges in advanced mixed-signal System-on-Chip (SoC) design.
- Advanced Design System
- Agilent EEsof EDA - Gent: Agilent EEsof EDA develops and markets electronic design automation (EDA) tools for RF, DSP, and communication systems designers who develop pagers, cellular phones, wireless networks, satellite broadcast systems, radar, collision avoidance, and other state-of-the-art communication technologies.
- Description: Agilent EEsof EDA develops and markets electronic design automation (EDA) tools for RF, DSP, and communication systems.
- AlfGen
- Library Technologies: We develop tools for cell design, circuit optimization, model generators for popular simulators and synthesis tools like Verilog, Synopsys, ALF, vital, TLF etc.. We offer modeling and characterization tools for custom blocks, cell and interconnect delay calculators, and gate level power and supply current simulators. Power modeling and characterization, power and delay optimization are our strengths.
- Description: AlfGen generates an ALF library per OVI specs for a digital cell library with full function, timing and power information.
- AlfGen
- Library Technologies, Inc.: We develop tools for cell design, circuit optimization, model generators for popular
simulators and synthesis tools like Verilog,
Synopsys, ALF, vital, TLF etc.. We offer
modeling and characterization tools for custom blocks, cell and interconnect delay
calculators, and gate level power and supply
current simulators. Power modeling and characterization, power and delay optimization are our strengths.
- Description: AlfGen generates an ALF library per
OVI specs for a digital cell library
with full function, timing and power
information.
- Allegro MCM
- Cadence Design Systems, Inc
- Description: Allegro MCM provides complete physical design functionality, including automatic placement, routing, post-processing, for pushbutton
multichip module (MCM) design.
- AMPERES
- Integrated Engineering Software Inc.: Electromagnetics, Mechanical, and Thermal 2D/3D CAD software packages
- Description: AMPERES is 3-D electromagnetic simulation software for the design and analysis of magnetic equipment and components.
- Analog Artist Design System
- Cadence Design Systems, Inc
- Description: Analog Artist Design System is a leading analog EDA solution for analog, mixed-signal, and microwave ICs.
- Analog Workbench Electrical Entry Design System
- Cadence Design Systems, Inc
- Description: Analog Workbench Electrical forms the core of a full-featured analog
design environment. The software includes the Concept design capture
system, the Analog Workbench analog menu overlay, and more.
- AnalogXpert
- Berkeley Technology Associates: Deep submicron device modeling and circuit reliability simulation leader.
- Description: Analog mismatch simulation for deep submicron designs.
- Ansoft HFSS
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: Ansoft HFSS is an interactive software package that computes s-parameters and full-wave fields for arbitrarily-shaped 3D passive structures.
- AnsoftLinksÖ
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: AnsoftLinksÖ facilitates the seamless transfer of geometry and design information from the original environment into Ansoft's analysis tools.
- Antrim-A/MS
- Antrim Design Systems Inc.: Antrim Design System's goal is to automate the design of Mixed-Signal Integrated Circuits through the development of world class design tools and methodologies. Antrim was founded in May 1997. It attracted substantial funding from a number of sources including Brentwood Venture Capital. Antrim has attracted a world class engineering team. The team is growing rapidly and, as of January 1998, the engineering organization has more than 225 years of collective experience.
- Description: The Antrim«-A/MS simulator is a single-kernel, multiple-solver solution that applies the best simulation algorithms to different portions of the circuit for the highest performance and uncompromised accuracy.
- Apollo-II
- Avant! Corporation: a
- Description: Apollo-II provides fundamental technology to address issues arising in the fabrication of 0.18 micron designs. Designers using Apollo-II are immediately prepared to deal with yield-affecting VDSM issues at 0.18 micron and below.
- APSII (Auspy Partition System II)
- Auspy Development Inc.: Multi-FPGA partition software
- Description: multi-FPGA partition software custom prototyping compiler
- ApsimDELTA-I
- Applied Simulation Technology: Applied Simulation Technology offers leading edge software
solutions for high speed digital and analog circuits and
systems. Parasitic extraction and simulation of the physical
interconnects for Signal Integrity and EMI analysis is the
company focus.
- Description: ApsimDelta-I models power/ground planes in PCB/MCM structures for analysis in the frequency or time domain.
- ApsimIBIS TOOLKIT
- Applied Simulation Technology: Applied Simulation Technology offers leading edge software
solutions for high speed digital and analog circuits and
systems. Parasitic extraction and simulation of the physical
interconnects for Signal Integrity and EMI analysis is the
company focus.
- Description: ApsimIBIS consists of three modeling tools aimed at the Signal Integrity community.
- ApsimIBIS-LCR
- Applied Simulation Technology: Applied Simulation Technology offers leading edge software
solutions for high speed digital and analog circuits and
systems. Parasitic extraction and simulation of the physical
interconnects for Signal Integrity and EMI analysis is the
company focus.
- Description: ApsimIBIS-LCR is a IC package electrical characterization tool. It models an IC package's parasitic effects. IBIS or SPICE style.
- ApsimRADIA
- Applied Simulation Technology: Applied Simulation Technology offers leading edge software
solutions for high speed digital and analog circuits and
systems. Parasitic extraction and simulation of the physical
interconnects for Signal Integrity and EMI analysis is the
company focus.
- Description: EMI analysis for PCB, MCM and IC packages. ApsimRADIA computes near or far field effects from interconnect systems.
- ApsimSI
- Applied Simulation Technology: Applied Simulation Technology offers leading edge software
solutions for high speed digital and analog circuits and
systems. Parasitic extraction and simulation of the physical
interconnects for Signal Integrity and EMI analysis is the
company focus.
- Description: Pre and post layout modeling and simulation tools for the analysis of Signal Integrity at the PCB, MCM level.
- ArchGen
- CAE Plus, Inc.: Provide software and services for high-level IC design and the creation of fast, RTL-accurate C models for hardware/software verification.
- Description: ArchGen is a high-leve IC design and verification environment, enabling creation of consistent RTL-accurate C models for simulation and HDL models for logic design.
- ASIC Design Kit
- Oki Semiconductor: We are into ASIC Development and a broadrange manufacturer of integrated Circuits.
- Description: OKI's ASIC Design Kits assist customers in the design of Application
Specific Integrated Circuits.
- ASIC Design Kits
- VLSI Technology Ltd
- Description: ASIC Design Library Kits for VLSI's manufacturing process
- ASM 1950 Allegro to DXF Bidirectional Translator
- Artwork Conversion Software Inc: Artwork offers CAD/CAE translators for GDSII, DXF, Gerber, Mann, high speed GDSII viewers, IC plotting software, Gerber and GDSII RIPs and IC packaging tools.
- Description: ASM 1950 is a bidirectional translator between Cadence Allego and AutoCAD's DXF file format.
- ASM 500 DXF to Gerber Postprocessor
- Artwork Conversion Software Inc: Artwork offers CAD/CAE translators for GDSII, DXF, Gerber, Mann, high speed GDSII viewers, IC plotting software, Gerber and GDSII RIPs and IC packaging tools.
- Description: ASM 500 is a powerful DXF to Gerber postprocessor that helps designers using AutoCAD, or other DXF-based CAD software, produce precision artwork on photoplotters.
- ASM 550 Mentor BoardStation to DXF
- Artwork Conversion Software Inc: Artwork offers CAD/CAE translators for GDSII, DXF, Gerber, Mann, high speed GDSII viewers, IC plotting software, Gerber and GDSII RIPs and IC packaging tools.
- Description: ASM 550 is a bidirectional translator between Mentor'sBoardStation and AutoCAD DXF. It reads geom,comp and trace from Mentor and writes DXF. It converts DXF to geom.
- ASM 600
- Artwork Conversion Software Inc: Artwork offers CAD/CAE translators for GDSII, DXF, Gerber, Mann, high speed GDSII viewers, IC plotting software, Gerber and GDSII RIPs and IC packaging tools.
- Description: ASM 600 is a two-way translator between Calma's GDSII stream database and
Gerber (RS-274) photoplot code.
- ASM 650 CIF to GDSII Bidirectional Translator
- Artwork Conversion Software Inc: Artwork offers CAD/CAE translators for GDSII, DXF, Gerber, Mann, high speed GDSII viewers, IC plotting software, Gerber and GDSII RIPs and IC packaging tools.
- Description: ASM 650 is a bidirectional translator between CIF and
GDSII.
- Aspect Component Information System
- Aspect Development Inc: Aspect development is the global leader in e-Business solutions for Inbound Supply Chain Management.
- Description: Aspect's Explore-CIS is a comprehensive,client/server solution for the classification,management,& reuse of all component,supplier & reusable design data across the enterprise.
- ATHENA
- SILVACO International: Silvaco provides a large range of software for the semiconductor and EDA market place.
Including: Layout, Interconnect, Circuit Simulators and TCAD tools.
- Description: 2D semiconductor process simulation framework with applications for
silicon, GaAS, lithography, deposition, etch, oxidation, diffusion and
ion implantation.
- ATLAS
- SILVACO International: Silvaco provides a large range of software for the semiconductor and EDA market place.
Including: Layout, Interconnect, Circuit Simulators and TCAD tools.
- Description: ATLAS is an advanced framework for 2D semiconductor device simulation.
- ATMEL Integrated Development System
- Atmel Corporation: manufacturers of non-volatile and programmable logic semi-conductors
- Description: The ATMEL Field Programmable Gate Array (FPGA) development system is used for the design and layout of circuits to be implemented on the ATMEL FPGA.
- Attenuator
- Gambit Automated Design Inc
- Description: Attenuator cuts physical design verification time for IC and ASIC designs by proactively removing antenna violations.
- ATTSIM
- Bell Labs Design Automation
- Description: ATTSIM is a single-core mixed-signal simulator that provides accurate full-chip simulation, multi-level modeling capability, circuit
partitioning, and extensive modeling support.
- Auriga(r)
- FTL Systems Inc: FTL Systems, Inc. is a technology leader in parallel compiler technology for the electronic design automation industry.
- Description: Family of optimizing compilers for VHDL and Verilog which both compile and excute using uniprocessor, SMP, and message-based parallel processors.
- AutoBEM
- Coyote Systems Inc.: CAD vendor for VLSI, MEMS and biotechnology
- Description: AutoBEM is the world's fastest 3D field solver
- Axess
- Aptix Corporation: Aptix produces and markets system emulation solutions targeted at designers of complex electronic systems. System emulation enables real-time verification and hardware/software co-design of new designs.
- Description: Aptix produces and markets system emulation solutions to rapidly prototype, verify, and debug complex electronic systems in a real-time environment. Aptix enables hardware/software co-design with low-cost replicates.
- A|RT library
- Frontier Design: Frontier Design offers design, analysis and implementation tools, reusable cores and design services to developers of leading edge telecommunication, consumer and multimedia products. The company has developed state-of-the-art DSP algorithm compiler technology and has acquired unique application expertise to meet these demanding design requirements in today's products. Frontier Design sells its design services and EDA tools directly from its facility in Leuven (Belgium) and from its sales office in California. Frontier Design has a growing number of EDA distributors in Europe, Japan and Pacific-Rim.
- Description: A|RT Library provides the designer with a set of C++ classes that encapsulate the characteristics of fixed-point data types and the most important ANSI C operators.
- BeamPROP
- RSoft Inc: Rsoft, Inc. provides CAD tools for design and simulation of fiber optics, integrated optics, and optoelectronic devices and systems.
- Description: BeamPROP, from RSoft, Inc., is a CAD program for design and simulation of integrated and fiber optical devices for telecommunications.
- BERTLink
- Berkeley Technology Associates: Deep submicron device modeling and circuit reliability simulation leader.
- Description: BERTLink provides an user friendly interactive interface for BTABERT's reliability simulation in Cadence environment. All BTABERT's modules such as hot carrier simulation electromigration and oxide breakdown can be carried out easily in BERTLink.
- Blast Fusion(TM)
- Magma Design Automation: Magma Design Automation offers Blast Fusion(TM), the only deep sub-micron physical design system that delivers timing sign-off at the beginning of physical design and then holds timing constant throughout the physical layout process. Blast Fusion is based on MagmaÆs patent-pending Fixed Timing(TM) methodology that treats timing as an absolute constraint, not an optimization goal, during physical design. The result: the shortest possible physical design cycle since no iterations are required to achieve 'timing closure' and the best possible timing for a given circuit design and library. Using Blast Fusion, design teams can literally shave months off of the back-end design cycle of complex chips.
- Description: Magma's Blast Fusion, the hot new physical design system, achieves timing sign-off early in the design cycle.
- Block Ensemble with Preview Basic
- Cadence Design Systems, Inc
- Description: Block Ensemble with Preview Basic is a block place and route system
for two layers of routing interconnect.
- BlockChar
- Library Technologies: We develop tools for cell design, circuit optimization, model generators for popular simulators and synthesis tools like Verilog, Synopsys, ALF, vital, TLF etc.. We offer modeling and characterization tools for custom blocks, cell and interconnect delay calculators, and gate level power and supply current simulators. Power modeling and characterization, power and delay optimization are our strengths.
- Description: BlockChar is a dynamic characterizer for custom blocks. Interacting with Verilog and PliCalc it generates a block level timing model of digital blocks.
- BlockChar
- Library Technologies, Inc.: We develop tools for cell design, circuit optimization, model generators for popular
simulators and synthesis tools like Verilog,
Synopsys, ALF, vital, TLF etc.. We offer
modeling and characterization tools for custom blocks, cell and interconnect delay
calculators, and gate level power and supply
current simulators. Power modeling and characterization, power and delay optimization are our strengths.
- Description: BlockChar is a dynamic characterizer for
custom blocks. Interacting with Verilog
and PliCalc it generates a block level
timing model of digital blocks.
- BSIMPro
- Berkeley Technology Associates: Deep submicron device modeling and circuit reliability simulation leader.
- Description: BSIMpro is a device measurement and SPICE model parameter extraction program. It is the fastest and most user-friendly MOSFET model parameter extractor. BSIM pro contains many advanced featurres as well as the control modules for IV, CV measurement, switching matrix and automatic prober control.
- BTABERT
- Berkeley Technology Associates: Deep submicron device modeling and circuit reliability simulation leader.
- Description: BTABERT is an integrated circuit reliability simulator that IC designers, technology development engineers can use to simulate circuit failure due to hot carrier degradation in MOS and bipolar, thin oxide werout, interconnect and contact electromigration.
- C-MOLD
- AC Technology (C-MOLD): Founded in 1986, C-MOLD is the leading innovator of CAE applications and knowledge management technology for the global plastics industry. Its products are used by many of the Global Fortune 500 and suppliers of all sizes involved with material selection, product design, mold design, and plastics manufacturing optimization. The company is privately held with operations in North America, Europe, and Asia. For more information about C-MOLD visit the web site at www.cmold.com or call 502 423 4350.
- Description: C-MOLD is a set of integrated CAE simulations for plastics molding processes, including injection molding filling, post-filling and
cooling; part shrinkage and warpage; co-injection molding; and more.
- C2HDL
- C Level Design: C Level Design, Inc. is a privately held company founded in 1997 to develop and market system-level design automation software products for electrical engineers. C Level Design's tools dramatically reduce the time it takes to move from algorithm and system specification to hardware implementation. The company's products enable engineers to design and verify systems at the C level for higher performance and productivity, and then automatically compile their designs to HDL code that is compatible with industry standard synthesis tools.
- Description: C Level Design, Inc. is a privately held company founded in 1997 to develop and
market system-level design automation software products for electrical
engineers.
- C2Verilog/C2Verilog+Test/C2Verilog Pro
- C Level Design, Inc.: Developer of C2Verilog and C2VHDL, the ANSI C to Synthesizable RTL Verilog Compiler.
- Description: Compiles ANSI C procedural descriptions into synthesizable Register-Transfer- Level(RTL) Verilog and VHDL Hardware Description Language(HDL). Complete ANSI C coverage.
- Cadence Design Kit
- Atmel (UK) Ltd
- Description: ASIC design kit to complement Cadence Framework CAD tools. Used for design of ASIC products through to physical layout.
- Calibre
- Mentor Graphics Corporation: Mentor Graphics Corporation (NASDAQ:MENT) is a world leader in electronic hardware and software design solutions, providing products and consulting services for the worlds largest electronics and semiconductor companies. Established in 1981, the company reported revenues over the last 12 months of $437,751,000 and employs approximately 2,581 people worldwide. Company headquarters are located at 8005 S.W. Boeckman Road, Wilsonville, Oregon 97070-7777. World Wide Web site: http://www.mentorg.com.
- Description: Mentor Graphics' Calibre is setting the industry standard in Deep Submicron IC Physical Verification. Calibre delivers unmatched performance, unlimited capacity, and is design style independent.
- Caliper
- Silicon Engineering, Inc.: Silicon Engineering is a leading provider of CAD software and engineering services.
- Description: Caliper is an HSpice TR0 post processor. Caliper reads binary TR0 files and takes HSpice compatible measurements. The asurements can be specified in a separate measure file or the HSpice input files.
- CATS -- Computer-Aided Transcription System
- Transcription Enterprises Limited: Transcription Enterprises produces CATS(tm), the most advanced and fully featured mask manufacturing, inspection and
direct-write-on-wafer data preparation software available.
- Description: CATS is an integrated graphical and data transcription software
product used in the semiconductor industry.
- Cell Ensemble with Preview Basic
- Cadence Design Systems, Inc
- Description: Cell Ensemble with Preview Basic is a standard cell place and route
system for two layers of routing interconnect (optionally
three layers).
- CELL-AN
- OEA International, Inc.: OEA International, Inc. designs and licenses state-of-the-art signal integrity software for the electronic design automation (EDA) industry. OEA's software is designed to be extremely high performance and handle very complex models with a high degree of accuracy. OEA products are used to substantially increase engineering productivity and first time success in the design of interconnect and packaging technologies for sophisticated electronic systems and integrated circuits.
- Description: CELL-AN is a three-dimensional level SPICE extraction tool which generates significant RCLK, S/D resistances, and geometry dependent transistor SPICE model parameters.
- Cell3 Ensemble UL
- Cadence Design Systems, Inc
- Description: Cell3 Ensemble UL is an automatic place and route package developed
specifically for designing complex "sex of cells" fixed die cell-
based designs.
- Cellopt
- Library Technologies: We develop tools for cell design, circuit optimization, model generators for popular simulators and synthesis tools like Verilog, Synopsys, ALF, vital, TLF etc.. We offer modeling and characterization tools for custom blocks, cell and interconnect delay calculators, and gate level power and supply current simulators. Power modeling and characterization, power and delay optimization are our strengths.
- Description: CellOpt is a dynamic power and delay optimization tool which resizes a given circuit netlist to have the specified performance and use the least energy under dynamic input conditions.
- cellopt
- Library Technologies, Inc.: We develop tools for cell design, circuit optimization, model generators for popular
simulators and synthesis tools like Verilog,
Synopsys, ALF, vital, TLF etc.. We offer
modeling and characterization tools for custom blocks, cell and interconnect delay
calculators, and gate level power and supply
current simulators. Power modeling and characterization, power and delay optimization are our strengths.
- Description: CellOpt is a dynamic power and delay optimization tool which resizes a given
circuit netlist to have the specified performance and use the least energy under dynamic input conditions.
- CellSnake / GateSnake / Layin
- SNAKETECH: Snaketech develops and markets high-performance PHYSICAL DESIGN AUTOMATION TOOLS for ICs : PLACE and ROUTE products and Layin, our unique substrate noise modeling and analysis program.
- Description: Layin, our unique substrate noise modeling and analysis program, operates on UNIX platforms.
cellSnake : place & route solution for standard cell-based layout
gateSnake : place & route solution for digital/mixed gate arrays and sea-of-gates.
- Centauri
- FTL Systems Inc: FTL Systems, Inc. is a technology leader in parallel compiler technology for the electronic design automation industry.
- Description: Centauri simulates designs in VHDL, VHDL-AMS, Verilog and SPICE containing up to 10 million gate equivalents.
- Certify
- Synplicity, Inc.: Logic synthesis software (EDA Software)
- Description: Certify is the first and only synthesis product targeting ASIC prototyping and verification using multiple FPGAs. Certify combines RTL multi-chip partitioning with best-in-class FPGA synthesis.
- Chameleon
- K2 Technologies: K2 Technologies, Inc. designs, manufactures,
markets and distributes software supporting
the semiconductor industry's design,
verification, process development,
lithographic, pattern generation and manufacturing environments.
- Description: The Chameleon product performs vital functions important to the design-finishing process in a high performance, high capacity environment.
- Cheetah - The Verilos Analzer
- Interra Inc: Interra is a software solution provider for design automation needs of EDA and semiconductor vendors.
- Description: Cheetah is a high performanceverilog Analyzer supporting IEEE OVI 1.0 & 2.0 standards, with a intuitive PI.
- Chess/Checkers
- Target Compiler Technologies N.V.: Target is an innovation company, specializing in design technologies for embedded software in electronic systems.
- Description: Chess/Checkers is a computer-aided design environment, intended for developers and programmers of application-specific digital signal processor (DSP) cores.
- ChipWise 2.0
- University of Kent at Canterbury: University providing software for educational use.
- Description: Chip Wise is a comprehensive, yet easy-to-use system for the design of full - custom VSLI circuits. The software is supplied with the Chip Wise Tutor CBL courseware which includes interactive tutorials, etc..
- CircuitScope
- Moscape Inc: Moscape sells a circuit analysis tool called CircuitScope.
- Description: CircuitScope version 2.0 is a circuit analysis tool that performs circuit integrity and circuit structural checks for DSM.
- CLASSIC-SC
- Cadabra Design Automation: Cadabra is the leader in automated transistor layout (ATL) tools used for the creation of cells used in the standard cell, semi-custom, and custom IC design process.
- Description: CLASSIC-SC is a fully automated system which synthesizes layouts for standard cell libraries.
- Clever
- SILVACO International: Silvaco provides a large range of software for the semiconductor and EDA market place.
Including: Layout, Interconnect, Circuit Simulators and TCAD tools.
- Description: Performs highly accurate parasitic extraction on custom cells.
- CLIP
- Intercon: INTERCON is the best CMP simulation and dummification company in semiconductor industry. INTERCON's product CLIP allows semiconductor company to increase yield of their products through better modeling of the CMP process and through intelligent dummification that reduces wafer surface unevenness by as much as 50%.
- Description: CLIP is a simulation and dummification software for CMP process in semiconductor manufacturing. INTERCON also provides CMP process characterization and dummification service.
- CLOCK Designer
- OEA International, Inc.: OEA International, Inc. designs and licenses state-of-the-art signal integrity software for the electronic design automation (EDA) industry. OEA's software is designed to be extremely high performance and handle very complex models with a high degree of accuracy. OEA products are used to substantially increase engineering productivity and first time success in the design of interconnect and packaging technologies for sophisticated electronic systems and integrated circuits.
- Description: A VLSI floorplanning tool for the fast design and optimization of clock networks at the block or full chip level.
- ClockStorm
- Simplex Solutions Inc.: Electronic computer aided design software
- Description: ClockStorm is an automated post-layout clock analysis solution that combines accurate transistor and interconnect simulation with easy-to-interpret graphical results.
- ClockWise
- Ultima Interconnect Technology: EDA Software
- Description: ClockWise, from Ultima Interconnect Technology, is the first useful-skew clock synthesis solution.
Unlike traditional physical design tools that separate clock design from other design tasks and simply
minimize skew, ClockWise utilizes clock skew to optimize timing. Designed to avoid timing problems, ClockWise helps designers achieve timing closure rapidly -- with existing placement and
routing tools.
- Clustor(TM)
- Active Tools Inc: Active Tools is a developer of software tools for high performance computing. Active Tools is a privately held company with offices in United States and Australia.
- Description: Clustor distributes and manages computationally intensive tasks. It greatly simplifies and speeds up parametric executions - running the same application numerous times with different input parameters
- CoBALT(TM) Emulation System
- Quickturn Design Systems, Inc.: Quickturn Design Systems, Inc. is the industry pioneer in cycle-based simulation and reprogrammable emulation products for design and verification of complex ICs and electronic systems.
- Description: The CoBALT (Concurrent Broadcast Array Logic Technology) emulation system is a custom processor-based, complied code logic emulation system that offers powerful verification performance and capacity for designers of complex Integrated Circuits (ICs) and elecronic systems such as micoprocessors, high-performance computers and communications systems.
- CODE V
- Optical Research Associates: Optical Research Associates is an industry leader in image forming and illumination optics, supplying engineering services and the developer of the CODE V« and LightTools« software.
- Description: Optical Research Associates is the world's leading developer of optical design and engineering software. The CODE V software performs optical
design optimization, analysis, and tolerancing.
- Columbus
- Frequency Technology: EDA Software
- Description: Provide the highest level of accuracy required to analyze the interconnect in deep-submicron designs.
- COMET/Acoustics(r)
- Automated Analysis Corporation: Automated Analysis Corporation is a leading provider of acoustical analysis software, COMET/Acoustics; computer aided entineering and design consulting services in structures, nnoise/vibration/harshness, powertrain, and acoustics; and training.
- Description: COMET/Acoustics(r), the leading acoustic analysis software product in the world, is available in the CATIA(r) Analysis environment. AS a CATIA Application Architecture (CAA) development partner, Auatomated Analysis Corporation (AAC) is integrating and supporting its acoustics and vibro-acoustics applications into CATIA and CAT/COMET.
- COMPARESCAN
- Design Acceleration (UK)
- Description: Simulation results comparison and analysis tool.
- Composer: Design Entry
- Cadence Design Systems, Inc
- Description: Composer: Design Entry is a powerful mixed-level design entry system
which combines both graphical and textual entry within a single
environment.
- Concept
- Cadence Design Systems, Inc
- Description: Concept is a mixed-level design capture system that supports both
behavioral and structural descriptions.
- Core Generator System
- Xilinx Development Corporation: Xilinx is the leading innovator of complete programmable logic solutions.
- Description: The Xilinx CORE Generator system
generates and delivers parameterizable cores optimized for Xilinx FPGAs.
- COULOMB
- Integrated Engineering Software Inc.: Electromagnetics, Mechanical, and Thermal 2D/3D CAD software packages
- Description: COULOMB is 3-D electromagnetic simulation software for the design and analysis of electronic equipment and components.
- COVERSCAN
- Design Acceleration (UK)
- Description: Simulation test code coverage tool for Verilog.
- CoWare N2C
- CoWare: CoWare, Inc., founded in 1996, provides
system-on-a-chip software to meet the
growing demands of today's IC designers.
The CoWare N2C design system enables
designers to take their concepts from
"napkin-to-chip" in half the time required
by traditional IC design methods, and has
been proven in customer designs ranging from
consumer electronics to next-generation multimedia devices to telecommunications
equipment.
- Description: The CoWare N2C? design system enables
designers to take their system-level
concepts from "napkin-to-chip?" in half the time.
- CoWare N2C
- CoWare, Inc.: Napkin-to-chip(TM), in the shortest possible time. EDA methods and tools that shorten the system design process from concept capture to RTL implementation, Hardware / software co-design.
- Description: Napkin-to-chip(TM), in the shortest possible time. EDA methods and tools that shorten the system design process form conncept capture to RTL implementation. Hardware/software co-design.
- CSA/NASTRAN
- CSAR Corporation
- Description: CSA/NATRAN is an advanced proprietary version of the NASA-sponsored, general-purpose NASTRAN program for the analysis of structures by the Finite Element Method.
- CyclopsPro
- Topdown Design Solutions: Topdown develops and markets electronic design automation (EDA) tools based on sophisticated model generation technology called Cyclops. The CyclopsPro line accelerates the performance of event-driven simulators, while TopProtect generates protected models for secure IP distribution.
- Description: CyclopsPro provides faster verification with conventional simulators by generating a model of your design that's optimized to simulate in a cycle-based manner.
- CyclopsPro/Gates
- Topdown Design Solutions: Developer of Software products for the EDA industry. Specifically, acceleration technology for the digital simulation field.
- Description: Cycle-Based model generator from original HDL description. Generated models for use in verification on existing HDL simulation environments.
- Cynlib
- C2 Design Automation: C2 Design Automation makes software products to rasie the level of abstraction available to the logic design engineer. C2 Design Automation makes software products to rasie the level of abstraction available to the logic design engineer.
- Description: Cynlib is a C++ class library which supports hardware description and simulation.
- Dantes Design and Test System
- Cadence Design Systems, Inc
- Description: Dantes Design and Test System introduces a newly integrated
design-for-testability (DFT) methodology. DFT in Dantes ensures that
an IC is testable at the target tester.
- DASYS RapidPath(TM)
- Summit Design, Inc.: Summit Design develops, manufactures and markets software solutions for design entry, verification, analysis, legacy code reuse, co-verification, code coverage and design capture.
- Description: Behavioral Synthesis intended for deep submicron chips. Simultaneously considers physical and logical constraints.
- dataConductor
- Syntricity Inc.: Syntricity develops data analysis software for engineers in the semiconductor and other advanced electronics industries. Our Internet/intranet software products and the Syntricity Network support global management of and collaboration around manufacturing and test data.
- Description: dataConductor is a Web-based data management, analysis, and reporting solution for the semiconductor industry and other electronics industries.
- DataXpress EDIF 200 Utility Kit
- Engineering Dataxpress, Inc.: dataXpress provides solutions for schematic, netlist, HDL, PCB/MCM layout design data, EDIF 200, EDIF 300, EDIF 400, verilog, VHDL and most EDA vendors. We offer data translators, translator development environments, conversion services, outsourcing services, etc.
- Description: EDIF 200 Utility Kit is a set of powerful utilities that aid EDIF translator verification, helping to ensure strict compliance to the
EDIF format.
- Debussy(r) Knowledge Based Debugging(tm) System
- NOVAS Software Inc.: EDA tools provider
- Description: Complete open debugging system for Verilog and VHDL RTL and gate level designs, integrated with all popular simulators as well as formal verification and timing analysis tools.
- Delay-Pak
- Stabie-Soft: Physical design software
- Description: The Delay-Pak product corrects and assembles sdf delay data for timing analyzers, correcting hierarchical munged names. Delay data from multiple blocks is concatenated and summed.
- Design Book
- Escalade Corp.: Escalade provides High-Level EDA software tools targeted for productivity and ReUse.
- Description: Design Book is software for EDA.
- Design For Assembly (DFA)
- Boothroyd Dewhurst Inc.
- Description: DFA is a structured mehtod and software system for evaluating the
"global" efficiency of part designs and assembly systems.
- Design Framework II
- Cadence Design Systems, Inc
- Description: Design Framework II is the core of the framework product family. DFII
is bundled with most of our core editors, such as Composer, Analog
Artist, and Virtuoso.
- Design Rule Check (DRC)
- Automata Design, Inc. (ADI)
- Description: Design Rule Check module helps engineers review a design for manufacturability and/or compliance to design specifications.
- Design VERIFYer(R)
- Chrysalis Symbolic Design
- Description: Design VERIFYer uses formal symbolic techniques to reduce the need and cost of simulation in digital design verification.
- DesignCraft
- Incentia Design Systems, Inc.: Incentia Design Systems, Inc. develops and markets EDA (Electronic Design Automation) software products for high performance ASIC chip designs. It aims at solving the timing problems in designs using deep submicron, system-on-chip, and IP methodologies.
- Description: DesignCraft(tm) is a next-generation logic design software tool for multi-million gate designs using deep submicron, system-on chip, or IP methodologies.
- DesignMaxx
- Opmaxx, Inc.: Opmaxx is pioneering Analog Design and Test Automation (ADTA) tools and services for IC design and test engineers
- Description: DesignMaxx accelerates IC circuit design analysis for: Sensitivity, Monte-Carlo, Root Sum Square, and Extreme Value Analysis.
- DesignScopes(TM) tool suite
- Moscape, Inc.: Moscape Inc develops state of the art Electronic Design Automation CAD software for the verification and analysis of complex IC designs. It addresses critical design validation needs of sub-micron IC designs both in the ASIC and Microprocessor design space. Moscape uses a proven proprietary context driven algorithm to analyze IC designs. Moscape nc is a dynamic startup company based in San Jose, CA.
- Description: Moscape, Inc. is now offering its DesignScopes(TM) tool suite for predicting circuit integrity and noise immunity problems of deep submicron IC designs.
- DesignSync
- Synchronicity, Inc.: Synchronicity's Mission...
To be the leading supplier of Web-Based Groupware for the Management, Reuse, and Secure Distribution of Electronic Design Information and Intellectual Property.
- Description: Internet based design groupware application maximizing the productivity of design teams utilizing high level languaged based design tools combining revision control, and much more.
- DFTMaxx
- Fluence Technology, Inc.: FluenceÖ Technology Inc addresses the need for a high-quality, integrated design and test environment by delivering a comprehensive, structured approach for design analysis and automated test generation for mixed-signal devices. Our robust product suite offers the breakthrough technology needed for Mixed-Signal Design and Test Automation (MSDTA). In 1978, we pioneered the development of commercial digital test development tools as Test Systems Strategies Inc. (TSSI). Since then, we have become the standard-bearer for design-to-test translation tools independent of the EDA and ATE vendor. Fluence has acquired or developed strategic alliances with companies to provide digital and analog fault analysis, automated test generation and built-in-self-test (BIST) capabilities. We are the sole provider of an integrated suite of MSDTA solutions that improve the quality and lower the costs of electronic products developed by leading electronics and automotive companies.
- Description: DFTMaxx (DesignMaxx, FaultMaxx, and TestMaxx) are analog and mixed signal design and test automation tools.
- Digital Logic Fault Simulation
- Mprize Corporation: Mprize Corporation is a privately held company developing and marketing software for digital logic fault simulation and test generation. Mprize is currently developing a new multithreaded fault simulator which it will bring to the market in 2000.
- Description: Mprize Corporation develops and markets software for digital logic fault simulation and test generation. Mprize is currently developing a high-speed, high-capacity, multithreaded concurrent fault simulator for use on multiprocessor systems.
- Diplomat Libraries, Diplomat Memory Compilers
- Virtual Silicon Technology Inc: Independent supplier of Silicon-ready(TM) electronic components and services to designers and manufacture of the world's most sophisticated silicon products. Virtual Silicon can create custom standard cells, I/O, Memory Compilers and other System Blocks for advanced CMOS process tecnologies, additionally, off the shelf solutions exists
- Description: Virtual Silicon provides advanced standard cell libraries, I/O's, and memory compilers to systems-on-silicon designers seeking open access to state-of-the-art foundries, EDA and IP partners.
- Discovery
- Avant! Corporation: a
- Description: Discovery is built on Avant!'s common VDSM database, Milkyway, and shares a single, unified source of design data with Avant!'s SinglePass tool suite, including Apollo-II, Avant!'s advanced place-and-route tool.
- DREAM
- Sagantec North America Inc: Sagantec is a silicon-compilation specialist with 15 years of experience. Our customers are a virtual "Who's Who" in the semiconductor industry.
- Description: Sagantec's DREAM (Design Rule Enforcer and Manager) is a polygon compactor that performs hierarchical design rule enforcement (DRE) on GDSII layout data with results comparable to handcrafting.
- DRS - Derivational Reasoning System
- Derivation Systems, Inc.: Derivation Systems, Inc. (DSI), founded in 1993, is a leading developer of formal verification tools for the EDA industry and embedded systems products.
- Description: Formal Synthesis Tool, supports: executable specification language, interactive formal synthesis, and simulation. Incorporates DERIVATION technology for synthesizing formally verified designs from high-level behavioral specifications.
- DSP Canvas
- Angeles Design Systems, Inc.: Angeles develops and markets Electronic Design Automation software for hardware/software codesign of embedded systems.
- Description: DSP Canvas is a tool suite for system design of real-time embedded applications with an emphasis on digital signal processing. The tool suite allows for design entry, simulation, optimization and co-verification with HDL simulators and hardware emulators.
- dw-2000
- Design Workshop: Expertise is developing physical layout design software for application in vertical engineering segments, primarily the integrated circuit, flat panel display and optoelectronics design industries.
- Description: Integrated circuit layout design with User Definable Button Interface, GPE compiler Design Rule Check module, conversion to/from full GDSII, Cambridge Conversion, Mebes. Customizable.
- DXE300 Translator Development Environment
- Engineering Dataxpress, Inc.: dataXpress provides solutions for schematic, netlist, HDL, PCB/MCM layout design data, EDIF 200, EDIF 300, EDIF 400, verilog, VHDL and most EDA vendors. We offer data translators, translator development environments, conversion services, outsourcing services, etc.
- Description: DXE300 Translator Tool Kit is a complete EDIF 300 translator development environment. It provides all the software format required
for any EDIF translator development project.
- DXL100 Data I/O Schematic Translator
- Engineering Dataxpress, Inc.: dataXpress provides solutions for schematic, netlist, HDL, PCB/MCM layout design data, EDIF 200, EDIF 300, EDIF 400, verilog, VHDL and most EDA vendors. We offer data translators, translator development environments, conversion services, outsourcing services, etc.
- Description: DXL100 Schematic Translator transfers schematic information both into and out of a Data I/O Engineering Capture System database. All data into and out of ECS pass through the EDI database.
- DXL1101 RACAL-REDAC to EDIF Schematic Translator
- Engineering Dataxpress, Inc.: dataXpress provides solutions for schematic, netlist, HDL, PCB/MCM layout design data, EDIF 200, EDIF 300, EDIF 400, verilog, VHDL and most EDA vendors. We offer data translators, translator development environments, conversion services, outsourcing services, etc.
- Description: DXL1101 transfers schematic information out of a Racal-Redac Visual database.
- DXL201 DAZIX ACE to EDIF Schematic Translator
- Engineering Dataxpress, Inc.: dataXpress provides solutions for schematic, netlist, HDL, PCB/MCM layout design data, EDIF 200, EDIF 300, EDIF 400, verilog, VHDL and most EDA vendors. We offer data translators, translator development environments, conversion services, outsourcing services, etc.
- Description: DXL201 converts schematic information that is contained in the DAZIX ACE database into the EDIF 200 Schematic format.
- DXL500 PADS Software/EDIF Schematic Translator
- Engineering Dataxpress, Inc.: dataXpress provides solutions for schematic, netlist, HDL, PCB/MCM layout design data, EDIF 200, EDIF 300, EDIF 400, verilog, VHDL and most EDA vendors. We offer data translators, translator development environments, conversion services, outsourcing services, etc.
- Description: DXL500 transfers schematic information out of a PADS software database.
- DXL601 OrCAD to EDIF Schematic Translator
- Engineering Dataxpress, Inc.: dataXpress provides solutions for schematic, netlist, HDL, PCB/MCM layout design data, EDIF 200, EDIF 300, EDIF 400, verilog, VHDL and most EDA vendors. We offer data translators, translator development environments, conversion services, outsourcing services, etc.
- Description: DXL601 transfers schematic information out of the OrCAD database.
- DXL800 Mentor Graphics EDIF Schematic Translator
- Engineering Dataxpress, Inc.: dataXpress provides solutions for schematic, netlist, HDL, PCB/MCM layout design data, EDIF 200, EDIF 300, EDIF 400, verilog, VHDL and most EDA vendors. We offer data translators, translator development environments, conversion services, outsourcing services, etc.
- Description: DXL800 allows Mentor Graphics Design Architect (Version 8) users to translate their schematic design data to and from the Engineering DataXpress database called EDI.
- DynaCell(TM)
- Circuit Semantics Inc: Develops EDA characterization tools for the custom and semi-custom chip design markets. Our products characterize blocks, RAMs, cells and cores with transistor-level accuracy.Develops EDA characterization tools for the custom and semi-custom chip design markets. Our products characterize blocks, RAMs, cells and cores with transistor-level accuracy.Develops EDA characterization tools for the custom and semi-custom chip design markets. Our products characterize blocks, RAMs, cells and cores with transistor-level accuracy.Develops EDA characterization tools for the custom and semi-custom chip design markets. Our products characterize blocks, RAMs, cells and cores with transistor-level accuracy.
- Description: DynaCell - characterizes cell libraries (macro and I/O's), and generates deep sub micron models for power, synthesis, and simulation.
- DynaCore(TM)
- Circuit Semantics Inc: Develops EDA characterization tools for the custom and semi-custom chip design markets. Our products characterize blocks, RAMs, cells and cores with transistor-level accuracy.Develops EDA characterization tools for the custom and semi-custom chip design markets. Our products characterize blocks, RAMs, cells and cores with transistor-level accuracy.Develops EDA characterization tools for the custom and semi-custom chip design markets. Our products characterize blocks, RAMs, cells and cores with transistor-level accuracy.Develops EDA characterization tools for the custom and semi-custom chip design markets. Our products characterize blocks, RAMs, cells and cores with transistor-level accuracy.
- Description: DynaCore - IP and block transistor level characterization and static timing analysis tool. Creates complete all paths spice accurate static timing models.
- EARS - Engineering Analysis & Review Station
- Tibor Darvas Limited: -
- Description: EARS is the interface between CAD designers and PCB manufacturers, enabling original designs to be checked to improve manufacturing viability.
- ECS
- Cohesion Tools Inc: Developer / Vendor of EDA tools, such as
schematic capture for PCB, ASIC.
- Description: Schematic capture for EDA, such as PCB and ASIC. Includes simulation links, HDL converters, back-annotation.
- EDA Design Consulting Services
- Electronic Design & Consulting Inc: We provide consulting services in the field of ASIC design. We work off-site or on-site depending on the customer's needs. We develop tools to aid engineers in the design of ASICs.
- Description: Consulting services and scripts for the EDA industry.
- EDAnavigator
- Xynetix Design Systems: Xynetix Electronic design automation software includes Encore BGA for ball grid array design, EDAnavigator for PCB (printed circuit board) virtual prototyping, Floorplanning and analysis.
- Description: EDAnavigator complements design environments for early design planning & analysis to address growing high speed/EMI issues
& reduce time to market.
- EDAvalidator
- Xynetix Design Systems: Xynetix Electronic design automation software includes Encore BGA for ball grid array design, EDAnavigator for PCB (printed circuit board) virtual prototyping, Floorplanning and analysis.
- Description: EDAvalidator software for design data verification compares multiple design sources & resynchronizes data. It identifies differences between CAE, CAD and bill of materials databases.
- EDIF Browser
- Engineering Dataxpress, Inc.: dataXpress provides solutions for schematic, netlist, HDL, PCB/MCM layout design data, EDIF 200, EDIF 300, EDIF 400, verilog, VHDL and most EDA vendors. We offer data translators, translator development environments, conversion services, outsourcing services, etc.
- Description: EDIF Browser is used as a debugging tool for EDIF files. It reads an EDIF file with syntax and semantic checking, and displays the EDIF file two ways.
- ELECTRO
- Integrated Engineering Software Inc.: Electromagnetics, Mechanical, and Thermal 2D/3D CAD software packages
- Description: ELECTRO is 2-D/RS electomagnetic simulation software for the design and analysis of electronic equipment and components.
- ElectronStorm
- Simplex Solutions Inc.: Electronic computer aided design software
- Description: ElectronStorm analyzes clock and signal wires for electromigration risk using a unique signal filtering technology (SIFT-EM) to identify segments of nets susceptible to signal electromigration.
- em
- Sonnet Software Inc
- Description: em delivers a precise, electromagnetic analysis of arbitrary planar
circuits (MICs, MMICs), integrated circuits, printed circuit boards,
and planer radiating structures.
- Empipe
- Optimization Systems Associates Inc.
- Description: Empipe is a smart software interface connecting general purpose CAD system
OSA90/hope with electromagnetic simulator em for direct electromagnetic
design optimization of structures.
- Empipe3D
- Optimization Systems Associates Inc.
- Description: Automated electromagnetic optimization for 3D arbitrary
structures utilizing Geometry Capture technology for
parameterization.
- EMpulseÖ
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: EMpulse allows for the setup of mechanical, electrical and magnetic transients. Here is the mechincal
transient setup.
- EMSS
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: Ansoft EMSS is an Electromechanical system simulator. It is our system simulation software for your coupled Electromagnetic/ Electromechanical
design needs.
- emvu
- Sonnet Software Inc
- Description: emvu is a color visualization of the current densities determined by
em, Sonnet Software's electromagnetic analysis tool for the simulation
of 3-D planoa circuits with vial holes.
- Encore?
- Xynetix Design Systems: Xynetix Electronic design automation software includes Encore BGA for ball grid array design, EDAnavigator for PCB (printed circuit board) virtual prototyping, Floorplanning and analysis.
- Description: Encore BGA physical design automation software is optimized for the design of single-chip and few-chip modules in ball grid array packaging.
- Ensemble
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: Ansoft Ensemble is a planar structure
electromagnetic field simulator. It is our planar simulation software solution for your high frequency,RF & wireless design needs.
- Enterprise 3000
- Valor Computerized System Inc.: Valor Computerized Systems is a world leader in the development of multi-platform, EDA/CAD/CAM solutions to the PCB layout design and PCB assembly and manufacturing markets.
- Description: Enterprise 3000 DFM verification and optimization system for PCB designers, provides automated analysis, design review and data release in a single design object and event.
- ESP-CV
- InnoLogic Systems Inc.: Innologic is an EDA company focusing on reducing the effort taken in design verification. Innologic has developed a new technology Symbolic simulation that simplifies test bench creation, reduces simulation time and eases results analysis
- Description: ESP is a verilog compatible symbolic simulator.
- Everest Electronic Design System (EDS)
- Everest Design Solutions Inc: Everest Design Solutions, LLC develops and sells commercial electronic design automation software that is focused on high-level design standards.
- Description: The Everest Design System (EDS) is a synthesis system that takes in designs written in industry-standard hardware description languages and performs compilation, optimization and mapping.
- Exact
- SILVACO International: Silvaco provides a large range of software for the semiconductor and EDA market place.
Including: Layout, Interconnect, Circuit Simulators and TCAD tools.
- Description: Extracts and optimi9zes parasitics of common interconnect geometries to build a parasitics library used by LPE tools.
- Express V-HDL
- I-Logix Inc.
- Description: Express V-HDL enables hardware designers to graphically model the behavior of their design, and automatically generate VHDL and Verilog for synthesis and simulation by industry leading CAE tools.
- FARADAY
- Integrated Engineering Software Inc.: Electromagnetics, Mechanical, and Thermal 2D/3D CAD software packages
- Description: Faraday is 3-D electromagnetic, low-frequency time-harmonic software for the design and analysis of magnetic equipment and components.
- Fault Manager
- Provis Corporation: Provis Corporation provides Electronic Design Automation tools and services enabling designers of complex electronic devices and systems to shorten design cycles and reduce product costs.
- Description: The Fault Manager is an EDA framework for developing, maintaining, and implementing well-documented test suites for digital designs, using the least engineering, simulator, and calendar time.
- FETFIT
- W.R. Curtice Consulting
- Description: FETFIT is a standalone program for evaluation of parameters needed for characterization of I-V characteristics of GaAs MESFETs.
- FIDELITY
- Omniview Inc.
- Description: FIDELITY is a board- and system-level synthesis tool, automatically
generates a detailed hardware design, e.g. schematics, BOM, simulation
files, from a high-level block diagram, requirements, and constraints.
- Fire & Ice QX
- Simplex Solutions Inc.: Electronic computer aided design software
- Description: Fire & Ice QX, 100X faster than previous-generation technologies, is the first 3D extraction tool for both place & route timing and final full-chip verification.
- Fixplan
- Tibor Darvas Limited: -
- Description: Fixplan generates net ends, fixtures, guide plates, and transfer areas
for use in single- and double-sided electrical testing of printed
circuit boards.
- FLOATNET: Max +Plus II
- Altera Corporation
- Description: FLOATNET provides logic synthesis and compilation support for Altera's broad line of high density CMOS programmable logic devices.
- FLOTHERM
- Flomerics: Flomerics Ltd is Computational Fluid Dynamics
software company (Flotherm) to perform thermal modelling analysis for the electronics industry
- Description: FLOTHERM - Computational Fluid Dynamics software for Thermal Analysis of Electronic Systems.
- Flowtracer
- Runtime Design Automation: Develops and markets the technology for run-time design management
- Description: Runtime Design Automation develops and markets design management tools that automate flow creation, management, and execution.
- FlumeCad
- Microcosm Technologies Inc: Electronic Design Automation (EDA)
Mechanical Design Automation (MDA)
Biotechnology
Graphics & Visualization
Finite Element Analysys
- Description: Microcosm Technologies, Inc. is the world's leading provider of CAD software and professional services for the MicroElectroMechanical Systems (MEMS) industry. We offer our customers the broadest solution set for MEMS design, system simulation, manufacturing analysis, and packaging.
- Forecast
- Gambit Automated Design Inc
- Description: Forecast is a physical, gate-level floorplanner that acts as an early-warning system for VDSM timing convergence.
- Freedom Libraries
- Systems & Processes Engineering Corp.: Systems & Processes Engineering Corporation (SPEC), provides a set of fully characterized CMOS or Gallium Arsenide (GaAs) cell libraries for ASIC design. These libraries support high performance applications.
These libraries are compatible with Synopsys, ModelTech and Cadence EDA tools. Which automate the development of semi-custom ASICs.
- Description: A set of fully characterized cell libraries in CMOS or in Gallium Arsenide (GaAs). These libraries support high performance applications.
- fs_wd_compare
- Boeing Computer Services
- Description: Compares wire diagram extracts to functional schematic extracts from CV CADDS graphics data.
- G-PISCES-2B
- Gateway Modeling, Inc.: CAE process and device modeling for III-V integrated circuits.
- Description: G-PISCES-2B is a 2-D poisson and current-continuity equation solver for GaAs device modeling.
- GATES: GaAs Transistor Engineering Models
- Gateway Modeling, Inc.: CAE process and device modeling for III-V integrated circuits.
- Description: GaAs Transistor Engineering Models are process, material, and device modeling programs for GaAs MESFET technology.
- GDSPLOT
- Artwork Conversion Software Inc: Artwork offers CAD/CAE translators for GDSII, DXF, Gerber, Mann, high speed GDSII viewers, IC plotting software, Gerber and GDSII RIPs and IC packaging tools.
- Description: GDSPLOT rasterizes GDSII chip data for plotting on large format electrostatic and inkjet plotters.
- GDSVU
- Artwork Conversion Software Inc: Artwork offers CAD/CAE translators for GDSII, DXF, Gerber, Mann, high speed GDSII viewers, IC plotting software, Gerber and GDSII RIPs and IC packaging tools.
- Description: GDSVU displays Calma's GDSI stream file format on screen. IC, hybrid and package designers will find this useful for checking data prior to mask-making, and measuring critical dimensions.
- GEMA (Graphical Entry Management & Analysis)
- VIZEF Ltd.: -
- Description: GEMA improves designer productivity and eases the administration of a complex design project.
- GeomGen
- Utilitek Systems Consulting Software: CAD software tools for printed circuit board design and for printed circuit board libraries
- Description: GeomGen enables the user to automatically create footprints (land patterns) to be used in printed circuit board design.
- GerbTool
- Wise Software Solutions, Inc.
- Description: GerbTool is a GERBER file editor/CAM station for UNIX systems.
- GoldenGate RF/Microwave Simulator
- Xpedion Design Systems: Xpedion Design Systems produces state of the art RF/Microwave simulation tools that integrate into the most popular EDA environments.
- Description: GoldenGate RF/Microwave Simulators offer advanced simulation capabilities to wireless designers, while integrating into several of the most common EDA platforms.
- Grandmaster
- Gambit Automated Design Inc
- Description: Multi-CPU, parallelized, Place-and-Route solution for VDSM ICs and ASICs.
- HARM
- W.R. Curtice Consulting
- Description: HARM is a standalone program for analytical load-pulling of a single
GaAs MESFET amplifier stage.
- Harmonica
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: Ansoft Harmonica is a linear and nonlinear circuit simulator. It is our desktop circuit simulation software solution for your high frequency, RF & wireless design needs.
- HarPE
- Optimization Systems Associates Inc.
- Description: HarPE is an advanced, integrated CAD system dedicated to device
characterization, simulation, and optimization.
- HDA6000-High Density Array
- Aspec Technology, Inc.: Software and library development
- Description: HDA6000 High Density Array is the basis for sea-of-gates, embedded array and standard cell libraries in 0.5, 0.6 and 0.8 in 2, 3 and 4cm CMOS.
- HDLScore(TM)
- Summit Design, Inc.: Summit Design develops, manufactures and markets software solutions for design entry, verification, analysis, legacy code reuse, co-verification, code coverage and design capture.
- Description: Based on the market leading VeriCov(TM) product, HDLScore raises the bar with both line and automatic FSM coverage.
- HENRY
- OEA International, Inc.: OEA International, Inc. designs and licenses state-of-the-art signal integrity software for the electronic design automation (EDA) industry. OEA's software is designed to be extremely high performance and handle very complex models with a high degree of accuracy. OEA products are used to substantially increase engineering productivity and first time success in the design of interconnect and packaging technologies for sophisticated electronic systems and integrated circuits.
- Description: HENRY - A very fast and accurate 3-D inductance simulator which calculates self and mutual inductance of any interconnect structure in electronic media.
- HHARM
- W.R. Curtice Consulting
- Description: HHARM is a standalone program for analytical load pulling of a single
heterojunction, bipolar amplifier stage.
- HierAssist
- Ascent Software: EDA software provider.
- Description: An editor for Verilog that works with Emacs or vim. Automates many tasks that otherwise involve redundant typing. Allows tracing of signals across module hierarchies.
- High Density Field Programmable Gate Array
- Lattice Semiconductor Corporation: Lattice Semiconductor designs, develops, and markets high performance programmable logic devices and related development system software.
- Description: High Density Field Programmable Gate Array provides a CAE design
environment for out users to design out high-density integrated
circuit devices.
- HSPICE
- Meta-Software, Inc.
- Description: HSPICE circuit simulator is an industrial grade circuit analysis
product for simulation of electrical circuits in the dc, transient, RF
and microwave regions.
- Hybrid ThermalÖ
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: Hybrid ThermalÖ is a 3D thermal/mechanical analysis for finite element hybrid and IC packages.
- IC Wizard(TM)
- Aristo Technology, Inc.: Aristo Technology develops and markets Electronic Design Automation products for use in the planning and implementation of block-based complex IC's and systems-on-chip (SOC's).
- Description: IC Wizard(TM) is designed to be used by both front-end and back-end chip designers of complex IC's and systems-on-chip (SOC's) that require physical block-level design hierarchy.
- IC Wizard(TM)
- Aristo Technology, Inc.: Aristo Technology develops and markets Electronic Design Automation products for use in the planning and implementation of block-based complex IC's and systems-on-chip (SOC's).
- Description: IC Wizard(TM) is designed to be used by both front-end and back-end chip designers of complex IC's and systems-on-chip (SOC's) that require physical block-level design hierarchy.
- ICBIST 1.22(TM)
- LogicVision Inc
- Description: The ICBIST(TM) family of ESTA products moves ASIC test-automation beyond existing test synthesis with front-end generation of BIST and1149.1.
- IcPower
- Library Technologies: We develop tools for cell design, circuit optimization, model generators for popular simulators and synthesis tools like Verilog, Synopsys, ALF, vital, TLF etc.. We offer modeling and characterization tools for custom blocks, cell and interconnect delay calculators, and gate level power and supply current simulators. Power modeling and characterization, power and delay optimization are our strengths.
- Description: IcPowed is a gate level power simulator integrated into Verilog. As the inputs switch it generates power events and can report power useage event by event as they happen. Models are generated by Verigen.
- IcPower
- Library Technologies, Inc.: We develop tools for cell design, circuit optimization, model generators for popular
simulators and synthesis tools like Verilog,
Synopsys, ALF, vital, TLF etc.. We offer
modeling and characterization tools for custom blocks, cell and interconnect delay
calculators, and gate level power and supply
current simulators. Power modeling and characterization, power and delay optimization are our strengths.
- Description: IcPowed is a gate level power simulator
integrated into Verilog. As the inputs
switch it generates power events and can
report power useage event by event as they happen. Models are generated by Verigen.
- iNPhase
- Numerical Technologies Inc: Design automation and simulation tools for IC manufacturing using advanced masks.
- Description: The iN-Phase tool-set contains all the functionality needed to successfully phase shift an IC: iN-Phase Design automatically applies phase-shifting structures; iN-Phase Verification checks to see if the design remains within silicon tolerance; and iN-Phase OPC automatically applies OPC where needed in order to "fine-tune" the design's manufacturability and ensure high yields.
- INTELLECT
- ATG Technology, Inc
- Description: INTELLIGEN is a test-generation system for complex sequential logic ASICs and is uniquely suited for circuits that contain complex timing and clocking but still require high fault coverage. But still require high fault coverage and reduced engineering costs to
achieve product goals.
- INTERACTIVE POWER SYSTEM ANALYSIS (IPSA) CAD PACKAGE
- IPSA Power Engineering CAD Limited: IPSA Ltd has a strong electrical power systems research and engineering applications base, dedicated to producing sophisticated, robust, user-friendly CAD and operational planning software.
- Description: IPSA is an integrated suite of interactive-graphic, steady state and dynamic analysis programs for the design and operational planning of electrical power systems.
- IP (Intellectual Property)
- Virtual IP Grouup Inc: Virtual IP Group provides technology consultation, design services, intellectual property development and licensing
- IP Gear
- Synchronicity, Inc.: Synchronicity's Mission...
To be the leading supplier of Web-Based Groupware for the Management, Reuse, and Secure Distribution of Electronic Design Information and Intellectual Property.
- Description: IP Gear is a family of enterprise server products that enable the storage, secure distribution, effective reuse and e-business of Semiconductor intellectual Property (SIP).
- iSIGHT Software
- Engineous Software, Inc (ESI): Engineous Software, Inc. is the industry-leading supplier of Computer-Aided Optimization (CAO) software services.
- Description: Engineous is the leading developer of Computer Aided Optimization software for industries utilizing computer simulation models that facilitate the design of large-scale, industrial products.
- ispEXPERT
- Lattice Semiconductor Corporation: Lattice Semiconductor designs, develops, and markets high performance programmable logic devices and related development system software.
- Description: The ispEXPERT Software offers powerful solutions to fit high density logic designs into Lattice's PLD devices.
- J1 AC-3 core cell
- Jacobs Pineda, Inc.: Portable core cells and Electrical CAD development tools for Audio applications including Dolby AC-3, MPEG, 3D audio, SRS, and DAC/PLL functions.
- Description: Jacobs Pineda, Inc. specializes in VLSI designs for high volume, cost sensitive, consumer audio appliccations requiring AC-3, MPEG, DVD, PLL and 3-D functions. Our flagship product is the J1 Dolby Digital (R)/AC-3/ MPEG Audio Decoding Core Cell, which is the world's smallest, with a silicon area of only 3.0 sqmm.
- JAGUAR - VHDL ANALYZER
- Interra, Inc.: Interra, Inc. is a diversified software company based in San Jose, California, that provides products and services to the high technology industry. Interra's business units focus on the electronic design automation (EDA) industry, digital video applications, information technologies and IC Design ServicesInterra, Inc. is a diversified software company based in San Jose, California, that provides products and services to the high technology industry. Interra's business units focus on the electronic design automation (EDA) industry, digital video applications, information technologies and IC Design Services
- Description: Jaguar is a high performance, high quality, full language VHDL Analyzer supporting IEEE 87 & 93 versions
- Jaguar : The VHDL Analyzer
- Interra Inc: Interra is a software solution provider for design automation needs of EDA and semiconductor vendors.
- Description: Jaguar is a high performance, high quality, full language VHDL Analyzer supporting IEEE 87&93 ressions.
- K2 - QuickView
- K2 Technologies: K2 Technologies, Inc. designs, manufactures,
markets and distributes software supporting
the semiconductor industry's design,
verification, process development,
lithographic, pattern generation and manufacturing environments.
- Description: A viewing system which enables display of MEBES data, GDSII data, and MEBES jobdecks. Any number of databases, patternfiles, and jobdecks can be overlaid and graphically compared to highlight AND/XOR regions.
- KELVIN
- Integrated Engineering Software Inc.: Electromagnetics, Mechanical, and Thermal 2D/3D CAD software packages
- Description: KELVIN is 2-D/RS heat transfer simulation software for the design and analysis of equipment and components requiring thermal analysis.
- KRYPTON
- LEDA U.S.A., LLC: Develop software tools in the domain of Computer Aided Design (CAD) for microelectronics.
- Description: KRYPTON is a VHDL source to VHDL source crypter that enables the provision of protected source code that can be recompiled on any VHDL platform.
- L-Edit Pro
- Tanner Research Inc.: Founded in 1988, Tanner Research Inc. develops and markets electronic design automation software for integrated circuit (IC) and micro-electro-mechanical systems (MEMS) applications.
- Description: L-Edit Pro solves IC layout and verification needs with a custom layout editor, automatic place and router, design rule checker, netlist extractor, and LVS.
- LabWindows/CVI
- National Instruments: National Instruments manufactures software and hardware products which, combined with the PCs and workstations, are used to replace and/or communicate with traditional instrumentation to acquire, analyze, and display data and to monitor and control processes. These instrumentation systems are used by scientists and engineers worldwide in measurement and automation applications.
- Description: LabWindows/CVI, an integrated programming environment for instrumentation, combines interactive tools and libraries that simplify development with the programming power and flexibility of ANSI C code.
- LASAR
- Teradyne, Inc. -- Assembly Test Division
- Description: Teradyne's LASAR V6 is the industry's leading test development software for generating digital test programs and diagnostics for high-speed functional test systems.
- LASAR
- Teradyne, Inc. -- Assembly Test Division
- Description: Teradyne's LASAR V6 is the industry's leading test development software for generating digital test programs and diagnostics for high-speed functional test systems.
- LEDA Verilog System (LVeS)
- LEDA U.S.A., LLC: Develop software tools in the domain of Computer Aided Design (CAD) for microelectronics.
- Description: LVeS (LEDA Verilog System) is a compilation environment for Verilog applications. Includes a Verilog compiler producing an intermediate format accessible through ANSI-C procedural interface.
- Libchar
- Library Technologies: We develop tools for cell design, circuit optimization, model generators for popular simulators and synthesis tools like Verilog, Synopsys, ALF, vital, TLF etc.. We offer modeling and characterization tools for custom blocks, cell and interconnect delay calculators, and gate level power and supply current simulators. Power modeling and characterization, power and delay optimization are our strengths.
- Description: Libchar is an automatic cell characteriztion tool for custom and standard digital cells. It measures delay, power, timing constraints using Spice.
- Libchar
- Library Technologies, Inc.: We develop tools for cell design, circuit optimization, model generators for popular
simulators and synthesis tools like Verilog,
Synopsys, ALF, vital, TLF etc.. We offer
modeling and characterization tools for custom blocks, cell and interconnect delay
calculators, and gate level power and supply
current simulators. Power modeling and characterization, power and delay optimization are our strengths.
- Description: Libchar is an automatic cell characteriztion tool for custom and
standard digital cells. It measures
delay, power, timing constraints using Spice.
- LightTools
- Optical Research Associates: Optical Research Associates is an industry leader in image forming and illumination optics, supplying engineering services and the developer of the CODE V« and LightTools« software.
- Description: Optical Research Associates is the leading developer of optical design and engineering software. LightTools (R) is solid-modeling optical,
opto-mechanical and illumination software.
- M32R/D Microprocessor
- Mitsubishi Electronics America Inc: Asic vendor
- Description: The M32R/D is a highly-integrated, low power 32-bit microprocessor with 2 Mbytes of on-chip DRAM and 4 Kbytes of on- chip cache memory, with the Java(TM) programming language embedded on it.
- MAFIA
- AET Associates, Inc
- Description: MAFIA's modular structure offers the user unparalleled flexibility in
solving specific problems, while its user friendly graphical interface
and postprocessor allow for easy access to and manipulation of data.
- Magellan 3D Motion Controller
- LogiCad 3D, Inc
- Description: The MAGELLAN 3D Controller provides interactive motion control of 3D graphic objects in 6 degrees of freedom for both mechanical design and visual simulation applications.
- MAGNETO
- Integrated Engineering Software Inc.: Electromagnetics, Mechanical, and Thermal 2D/3D CAD software packages
- Description: MAGNETO is 2-D/RS electromagnetic simulation software for the design and analysis of magnetic equipment and components.
- MAINSAIL Programming Environment
- XIDAK, Inc.: Develop computer software
- Description: MAINSAIL is a highly portable programming language and development environment.
- MaskCompose
- K2 Technologies: K2 Technologies, Inc. designs, manufactures,
markets and distributes software supporting
the semiconductor industry's design,
verification, process development,
lithographic, pattern generation and manufacturing environments.
- Description: An automated reticle design system with hierarchical capture of floorplan definitions. Handles multi-chip clusters, stepper mix-and-matching, etc.. Dual module architecture.
- Master
- W.R. Curtice Consulting
- Description: Master is a standalone program for analytical load-pulling of a single GaAs MESFET amplifier stage.
- MATLAB
- The MathWorks, Inc.: The MathWorks is the leading developer and supplier of technical computing software in the world. Employing more than 500 people, The MathWorks was founded in 1984 and is located in Natick, Massachusetts.
- Description: The MathWorks, Inc.
The MathWorks is the leading developer and supplier of technical computing software in the world.
- MAX-LS
- Micro Magic Inc: We sell EDA design Tools and provide IC Design Methodology Consultation as well as Design Services for Processors, Graphics and other advanced ICs
- Description: MAX is an extremely fast, industrial strength, full-custom layout editor with the added benefit of a complete Tcl/Tk interface and API. MAX comes with continuous DRC, connectivity tracing, schematic cross-probing, wiring tool, extraction, schematic-driven layout, and more. MAX reads and writes GDSII, LEF/DEF, and CIF.
- Maxwell« 2D Field Simulator
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: Maxwell 2D Field Simulator is a 2D structure electromagnetic field simulator. It is our 2D simulation software solution for your low frequency design needs.
- MAXWELL« 3D Field Simulator
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: Maxwell 3D Field Simulator is a 3D structure electromagnetic field simulator. It is our 3D simulation software solution for your low
frequency design needs.
- Maxwell« Eminence
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: Maxwell« Eminence is a suite of software tools that includes Ansoft HFSS and Spicelink. Eminence is used for EMI analysis.
- Maxwell« Spicelink
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: Maxwell« SPICELINK - Software package that includes SI2D and SI3D for complete parasitic extraction, signal integrity analysis and SPICE simulation.
- MEDUSA/AutoCAD Translator
- John Steffen Associates: Electronic Data Database Management consultants. Specializing in WEB interface to electronic vaults.
- Description: MEDUSA/AutoCAD Translator is easy-to-use conversion software that is compatible with the latest revisions of MEDUSA and AutoCAD. It is easy-to-use because it does not require translation tables.
- memBIST-XT
- LogicVision Inc: Leading intellectual property provider, licenses high performance, space efficient embedded test processing engines to communication, wireless & server companies worldwide.
- Description: A total solution for Testing System-Level Memory.
- MemCAD
- Microcosm Technologies Inc: Electronic Design Automation (EDA)
Mechanical Design Automation (MDA)
Biotechnology
Graphics & Visualization
Finite Element Analysys
- Description: Microcosm's MemCAD design automation and analysis software suite provides solutions for MEMS device design, manufacturing analysis and system integration.
- Memory BistCore
- Genesys Testware: Genesys Testware provides a complete solution for the manufacturing test of System on Chip (SOC) integrated circuits using superior Built-In Self-Test (BIST) technology.
- Description: Memory BistCoreTM is a library of synthesizable, parameterized, register transfer level (RTL) cores for Built-In Self-Test (BIST)
of embedded memories.
- METAL
- OEA International, Inc.: OEA International, Inc. designs and licenses state-of-the-art signal integrity software for the electronic design automation (EDA) industry. OEA's software is designed to be extremely high performance and handle very complex models with a high degree of accuracy. OEA products are used to substantially increase engineering productivity and first time success in the design of interconnect and packaging technologies for sophisticated electronic systems and integrated circuits.
- Description: METAL - A 2D/3D interconnect simulator for extracting RCL parasitics from interconnect structures. It features automatic mesh generation, refinement, and SPICE sub-circuit generation.
- microPlatform Design Service
- Intrinsix Corp.: ASIC & Embedded Software Design Services
- Description: The Intrinsix microPlatform Design Service offering is a methodology for System on Chip (SoC) design and verification.
- MicroStation
- Bentley Systems Inc
- Description: MicroStation is fast, general-purpose CAD software for the generation, manipulation, display, and output of graphics data. It has a graphical user interface based on the OSF Motif standard.
- Mixed-Signal Analog,Special I/O and RF IP,Digital Libraries
- LEDA Systems Incorporated: LEDA SYSTEMS is a leading provider of mixed-signal digital, I/O, analog and RF standard cell libraries and IP for creating highly integrated ICs that address the deep submicron system-on-a-chip (SoC) designs. The resulting libraries are closely tuned to the individual process and application type, resulting in area, speed, and power savings, making the libraries 100% manufacturable with high yield and reliability.
Due to the rapid interest in the CMOS RF market, LEDA SYSTEMS has also developed a line of RF cells and complimentary RF I/O pads that use digital CMOS processes. Since most applications of Analog and RF IP require digital libraries, LEDA SYSTEMS offers fully compatible (with Analog and RF IP) mixed-signal Digital and I/O (including specialty I/Os, such as LVDS, GTLP, SSTL, PECL, AGP, PCI) library product lines. This complete offering gives customers a 'one-stop-shop' FULL CMOS SOLUTION.
- Description: LEDA SYSTEMS leading edge mixed-signal Digital, Analog, I/O and RF standard cell libraries and IP tuned to the specific process technology and application type, are fully compatible, offering a FULL CMOS SOLUTION for SoC.
- ModelSim
- Model Technology Incorporated: We develop high performance, high capacity Hardware Description Language (HDL) simulators on Workstations and Personal computers for the Engineering Design Automation (EDA) market.
- Description: The ModelSim product line includes the world's most popular VHDL simulator, a fast gate and RTL level Verilog simulator, and mixed Verilog/VHDL simulator offerings.
- MODGEN
- Silicon & Software Systems: Development tools, test tools.
- Description: MODGEN is a design tool for circuit layout.
- MULTES/ MERL-C
- Mitsubishi Electronics America Inc: Asic vendor
- Description: MULTES/MERL-C is one of the best automatic test pattern generators for digital logic circuit. It generates high quality function test
patterns.
- Mustang
- Arcadia Design Systems Inc.: Enabling High Performance SOC Integration.
- Description: CAE & CAD tool bulider.
- Nautilus Parasitic Extraction
- Ultima Interconnect Technology: EDA Software
- Description: A high capacity,full-chip 3D parasitic extraction and delay analysis solution, Nautilus meets the capacity and
accuracy requirements for .25 micron and below designs. Ultima has pioneered a series of innovative technologies to create a powerful tool that serves as a critical link between the layout and timing in a cell-based design flow.
- NeoCell
- Neo Linear Europe GmbH: Neo Linear Europe GmbH was established in 1998 to develop and market mixed-signal circuit design automation software with the ultimate goal to increase designer productivity, design quality, and reduce time -to market.
- Description: NeoCell automates the layout of analog cells for mixed-signal integrated circuits. NeoCell is the first product in a family of mixed-signal integrated design tools from Neo Linear.
- NeoCell
- Neo Linear Inc: Neo Linear develops and markets workstation based software tools for the design of mixed-signal integrated circuits.
- Description: NeoCell(TM) automates the physical design of analog cells for mixed-signal ICs from a process description and a circuit description annotated with placement and routing constraints.
- NET-AN
- OEA International, Inc.: OEA International, Inc. designs and licenses state-of-the-art signal integrity software for the electronic design automation (EDA) industry. OEA's software is designed to be extremely high performance and handle very complex models with a high degree of accuracy. OEA products are used to substantially increase engineering productivity and first time success in the design of interconnect and packaging technologies for sophisticated electronic systems and integrated circuits.
- Description: NET-AN is a three-dimensional IC multi-net analysis tool for extracting distributed RCLK SPICE networks from critical IC nets.
- Nlview Widgets
- Concept Engineering GmbH: Concept Engineering provides EDA (Electronic Design Automation) software for schematic generation and viewing.
- Description: Concept Engineering's Nlview Widgets are a family of schematic generation and viewing software components (GUI building blocks) that can be easily used and customized within the most popular GUI development environments.
- NOISEPro
- Berkeley Technology Associates: Deep submicron device modeling and circuit reliability simulation leader.
- Description: NoisePro is a flicker noise characterization system. It can perform automatic noise measurement on wafer-level and noise parameter extraction using BSTM3v3 noise model.
- NOVICE Radiation Transport
- EMP Consultants: EMPC provides consulting and software for radiation transport and shielding with emphasis on radiation effects in satellites and other space systems.
- Description: NOVICE calculates radiation effects (total dose, upsets, charging) in 3D space system models exposed to trapped radiation belts, SPE/GCR, and onboard nuclear sources.
- NuVision 21SX/17SX Stereoscopic Shutter Kits
- NuVision Technologies, Inc.: NuVision specializes in stereoscopic 3-D imaging products which enhance visualization of complex scientific and computer generated data, including high quality monitors, shutter kits, and active glasses.
- Description: NuVision provides detachable shutters which conveniently upgrade standard 21" and 17" color monitors to high quality stereoscopic systems. Kits include sync box and polarized glasses.
- NXT Library
- In-Chip Systems, Inc.: In-Chip develops innovative ASIC architectures and associated cell libraries for leading ASIC, semiconductor, and system companies. In-Chip's patented NXT architecture for ASICs forms the basis for its silicon-customized gate-array and standard-cell library products.
- Description: In-Chip's NXT physical macro libraries are optimized for high density, low power dissipation, and routability. The libraries include macro cell layout in GDS II format, along with necessary timing, logic, synthesis & layout views.
- OERSTED
- Integrated Engineering Software Inc.: Electromagnetics, Mechanical, and Thermal 2D/3D CAD software packages
- Description: OERSTED is 2-D/RS electromagnetic, low-frequency, time-harmonic simulation software for the design and analysis of magnetic equipment and components.
- OnTime
- Gambit Automated Design Inc
- Description: OnTime is a comprehensive statis analysis tool for VDSM designs that is seamlessly integrated with other Gambit products.
- OptEM Connector
- OptEM Engineering Inc.: OptEM Engineering provides CAE software and services that focus on interconnect modeling and electromagnetic analysis of deep-submicron ICs, advanced IC packages, and connector/cable systems.
- Description: OptEM Connector is a CAE software tool used for the modeling and analysis of high-speed cables, connectors, and related interconnect media.
- OptEM Interconnect Designer
- OptEM Engineering Inc.: OptEM Engineering provides CAE software and services that focus on interconnect modeling and electromagnetic analysis of deep-submicron ICs, advanced IC packages, and connector/cable systems.
- Description: OptEM Interconnect Designer (OptEM ID) is a prelayout interconnect design and signal integrity analysis software tool for parametric, S-parameter, time domain, and SPICE modeling.
- OptEM Package
- OptEM Engineering Inc.: OptEM Engineering provides CAE software and services that focus on interconnect modeling and electromagnetic analysis of deep-submicron ICs, advanced IC packages, and connector/cable systems.
- Description: OptEM Package is a post layout verification software tool for the extraction, electromagnetic analysis, modeling, and signal integrity analysis of package interconnects.
- OptimetricsÖ
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: OptimetricsÖ is a smart parametrics and optimization engine that allows users
to perform parametric analysis, optimization, sensitivity analysis, and other design studies from an easy to use interface.
- OSA90/hope
- Optimization Systems Associates Inc.
- Description: OSA90/hope is a general purpose CAD software system for simulation,
modeling, statistical analysis, and nominal and yield optimization for
linear and nonlinear analog circuits.
- P-GRID
- OEA International, Inc.: OEA International, Inc. designs and licenses state-of-the-art signal integrity software for the electronic design automation (EDA) industry. OEA's software is designed to be extremely high performance and handle very complex models with a high degree of accuracy. OEA products are used to substantially increase engineering productivity and first time success in the design of interconnect and packaging technologies for sophisticated electronic systems and integrated circuits.
- Description: P-GRID - A power network analysis tool which extracts power network parasitics and solves them for low voltage violations and current density violations.
- P-PLAN
- OEA International, Inc.: OEA International, Inc. designs and licenses state-of-the-art signal integrity software for the electronic design automation (EDA) industry. OEA's software is designed to be extremely high performance and handle very complex models with a high degree of accuracy. OEA products are used to substantially increase engineering productivity and first time success in the design of interconnect and packaging technologies for sophisticated electronic systems and integrated circuits.
- Description: P-PLAN is a VLSI power distribution network floorplanning tool used with P-GRID.
- Panacea: A CAE Tool for EM Analysis
- InfoMagnetics Technolgies Corporation
- Description: Panacea(TM) is a CAE toll that utilizes the Unified User Interface
concept. The geometry & the physical parameters of the problem are
entered through a user friendly Graphical User Interface (GUI).
- PARCAM
- Infinite Graphics Incorporated: Infinite Graphics provides software and services to the printed circuit board industry.
- Parquet
- EXD Technologies Inc: Market/Sale EDA software & Library Characterization Service .EXD Technologies, Inc. offers a total solution for systems on Silicon through our intellectual property development, physical library development and CAE tool development. We present design methodology consultation, contract design services and do original ASSP development.
- Description: A process-portable parametric array generator for RAM, ROM, multipliers, and register files.
- Pathway
- FTL Systems Inc: FTL Systems, Inc. is a technology leader in parallel compiler technology for the electronic design automation industry.
- Description: Simulator for hardware and system designs in VHDL, VHDL-AMS, Verilog and SPICE.
- patru
- Sonnet Software Inc
- Description: Patru computes far-field radiation patterns for current densities
computed by em, Sonnet Software's electro-magnetic analysis tool for
simulating 3-D planar circuits (vias represent the third dimension.)
- PCB Thermal
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: PCB Thermal is a 3D thermal analysis for printed circuit board and assemblies
- PCB/MCM Signal IntegrityÖ
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: PCB/MCM Signal IntegrityÖ PCB/MCM pre and post-route signal integrity analysis.
- PDFAB
- PDF Solutions, Inc.: Our company develops software that helps semiconductor design engineers improve the yield on their products. We also have a consulting group that uses our software to assist customers in improving their
yields.
- Description: The pdFab Suite of tools simulates the distribution of performances expected from the fabrication line
- Peacock
- EXD Technologies Inc: Market/Sale EDA software & Library Characterization Service .EXD Technologies, Inc. offers a total solution for systems on Silicon through our intellectual property development, physical library development and CAE tool development. We present design methodology consultation, contract design services and do original ASSP development.
- Description: High-speed/high-accuracy deep submicron delay calculator.
- PERTS
- Tri-Pacific Consulting Group: Tri-Pacific Consulting Group supports software consulting, contract programming, technical training, systems integration and commercial software developemnt.
- Description: PERTS is based on the SEI's Rate Monotonic Scheduling theory and
allows one to model both soft and hard real-time systems,
guaranteeing scheduling and performance.
- PliCalc PliCal cRc
- Library Technologies: We develop tools for cell design, circuit optimization, model generators for popular simulators and synthesis tools like Verilog, Synopsys, ALF, vital, TLF etc.. We offer modeling and characterization tools for custom blocks, cell and interconnect delay calculators, and gate level power and supply current simulators. Power modeling and characterization, power and delay optimization are our strengths.
- Description: PliCalc is a gate level delay calculator integrated into Verilog. PliCalcRC handles extracted interconnect, measuring effective load, delay, and fanout slew.
- PliCalc PliCalcRC
- Library Technologies, Inc.: We develop tools for cell design, circuit optimization, model generators for popular
simulators and synthesis tools like Verilog,
Synopsys, ALF, vital, TLF etc.. We offer
modeling and characterization tools for custom blocks, cell and interconnect delay
calculators, and gate level power and supply
current simulators. Power modeling and characterization, power and delay optimization are our strengths.
- Description: PliCalc is a gate level delay calculator
integrated into Verilog. PliCalcRC handles extracted interconnect, measuring effective load, delay, and fanout slew.
- PolarDRC
- Stanza Systems Inc (SSI): Provider of high-end IC design automation software and servicesw with emphasis on IC physical design tools
- Description: PolarDRC is a high performance IC physical design rule checker(DRC), and is tightly integrated with the PolarSLE editor. It is an easy to use, interactive DRC with the capacity of a batch-mode tool.
- PolarSLE
- Stanza Systems Inc (SSI): Provider of high-end IC design automation software and servicesw with emphasis on IC physical design tools
- Description: PolarSLE is a modern custom IC layout tool targeted for deep sub-micron IC physical designs. Its user-friendly interface and powerful functional modules can significantly improve physical design productivity.
- POSES: POISSON - Schroedinger Equation Solver
- Gateway Modeling, Inc.: CAE process and device modeling for III-V integrated circuits.
- Description: POSES: POISSON - Schroedinger Equation Solver is a process and device modeling program for III-V HEMT technology.
- Power Analyzer
- Transim Technology Corporation: ransim Inc. is a privately held company focused on collapsing the distance between
sellers and buyers of power components and products through sophisticated web based
services that instantly allow product customization and customer evaluation online.
Transim the leader in switch-mode power supply (SMPS) simulation with its core product,
SIMPLIS, now introduces WebSIM a simulation product that allows design engineers to
quickly and easily perform simulations over the Internet using a standard Web-browser.
Established in 1991, Transim serves a Fortune 100 customer base.
- Description: SIMPLIS is a fast simulation engine, designed specifically for switching power supplies. It is tightly integrated into the Cadence
Analog Workbench.
- Power System Simulator for Engineering (PSS/E)
- Power Technologies, Inc
- Description: PSS/E 50,000+ bus, fully interactive power system simulation for planning gives electric utility engineers advanced capabilities for
load flow, dynamic simulation, fault analysis, open access, optimal power flow and more.
- Powerview
- Viewlogic Systems, Inc.
- Description: Powerview combines best-in-class EDA tools within an open,
standards-based framework to provide a powerful ASIC, FPGA, PLD, PCB,
and system environment.
- PRESTO
- High Design Technology Italy: HDT is a software house producing software for PCB postlayout analysis aimed to signal integrity, electromagnetic compatibility (EMC/EMI), switching noise, crosstalk, etc.
- Description: PRESTO is a Signal Integrity (SI) and ElectroMagnetic Compatibility (EMC) analysis environment able to predict the behavior of electronics design before prototype implementation.
- Process-independent library generation software
- Prolific, Inc: PROLIFIC, Inc. provides EDA tools for standard-cell library generation and migration. PROLIFIC's ProGenesis suite capture and reuse design knowledge with any layout options and design rules.
- Description: A set of automatic library generation software for building layouts from a process-independent description.
- ProjecSync
- Synchronicity, Inc.: Synchronicity's Mission...
To be the leading supplier of Web-Based Groupware for the Management, Reuse, and Secure Distribution of Electronic Design Information and Intellectual Property.
- Description: ProjectSync is Synchronicity's industry-leading solution for IC Design project management. It provides a web-based bug and issue tracking as well as a web-centric proactive communications infrastructure for all project team members and their management.
- Project Manager
- InTime Software Inc.: Engineering project management solutions for mechanical, software and electronic system design.
- Description: Engineering project management solutions for mechanical, software and electronic system design.
- PROTON
- LEDA U.S.A., LLC: Develop software tools in the domain of Computer Aided Design (CAD) for microelectronics.
- Description: d or set of design rules.|
- PROXECCO
- aiss GmbH: We provide software and consulting services for the Semiconductor Industry. Our focus is modeling, simulation and correction of effects affecting Chip speed, accuracy and yield.
- Description: PROXECCO is a software tool for simulation and correction of the E-beam Proximity effect occuring in E-beam Lithography.
- QuickBench
- Chronology Corporation: Chronology is a leading provider of Testbench Automation products and graphical interface specification and analysis products
- Description: Quickbench (TM) is a testbench automation tool that comprises model generation (in VHDL and Verilog) from graphical interface specifications coupled with a high-level transaction-oriented language (RAVE).
- QuickCAP
- Random Logic Corporation: Random Logic Corporation develops computer software for computer aided design of integrated circuits.
- Description: QuickCAP(TM) is a computer software program for analysis of electrical capacitance of electric circuits. QuickCAP is used in the computer aided design of interconnects of integrated circuits.
- Quickic Integrated Circuit Layout Editor
- Maxim Integrated Products, Inc.: Manufacturer of Analog Integrated circuits.
- Description: QuicKic is a netlist-driven integrated circuit layout tool incorporating real-time DRC and real-time LVS.
- RAM Designer
- OEA International, Inc.: OEA International, Inc. designs and licenses state-of-the-art signal integrity software for the electronic design automation (EDA) industry. OEA's software is designed to be extremely high performance and handle very complex models with a high degree of accuracy. OEA products are used to substantially increase engineering productivity and first time success in the design of interconnect and packaging technologies for sophisticated electronic systems and integrated circuits.
- Description: A unique program for the fast design, analysis and optimization of high performance SRAM arrays.
- RAW - Radar Analysis Workstation
- Radian International, LLC: Application development for goverment and commercial clients with a focus on process management.
- Description: Radar Design and Analysis Tools.
- RC-Cut(TM)
- Legend Design Technology Inc: Legend Design Technology Inc. is a leader in circuit reduction for 'critical-path' circuit simulation, including embedded memory and system-on-chip designs. Its mission is to be a one-stop shop of all circuit simulation (e.g. Spice) related tools for deep submicron designs.
With enormous data generated by RC extraction tools, analyzing the results is quite difficult. Legend's SpiceCut family enables designers to reduce data without compromising accuracy, to retain parasitic information without hindering simulation performance, and to extract critical paths with proper loading and coupling terms.
- Description: A reliable RC reduction program for efficiently running SPICE and timing tools.
- RealPower and PowerPlanner
- IOTA Technology: design consultant for ASIC, developer of EDA software tools
- Description: PowerPlanner and RealPower, a pair of EDA tools for power network design and reliability analyis
- redifsch
- Electronic Tools Company: Electronic Tools Company (also known as E-Tools.Com) develops and sells standard EDIF Translators found on most leading EDA platforms such as Mentor Graphics, Cadence and Viewlogic platforms. These translators are available today on Sun (TM) Solaris (TM) running on SPARC stations. E-Tools.Com also develops and sells Visualisation Software products such as e-studio, a visualisation product for Schematic designs.
- Description: redifsch is an EDIF Schematic Reader for Cadence Concept Schematic Environment. It reads EDIF V 2 0 0 or EDIF V 4 0 0
files and creates Concept/SCALD schematic databases.
- RelPro+
- Berkeley Technology Associates: Deep submicron device modeling and circuit reliability simulation leader.
- Description: RelPro is a MOSFET hot-carrier stress and lifetime parameter extraction program. It automates hot carrier stress and data collection through simultaneous control of various measurement hardware.
- Repairplan
- Tibor Darvas Limited: -
- Description: Repairplan is an electronic system for determining the precise
location of errors and faults found during electronic testing of
printed circuit boards.
- ReviewVer
- DualSoft: DualSoft develops and markets Reuse-centric
solutions for Electronic Design Automation.
Our products are used by Semiconductor
Design Houses and Intellectual Property (IP)
developers.
We are committed to Java and all our products
are in 100% Pure Java.
- Description: ReviewVer is an automatic code reviewer for Verilog designs. It allows companies to design an organization-wide coding policy.
- RING Designer
- OEA International, Inc.: OEA International, Inc. designs and licenses state-of-the-art signal integrity software for the electronic design automation (EDA) industry. OEA's software is designed to be extremely high performance and handle very complex models with a high degree of accuracy. OEA products are used to substantially increase engineering productivity and first time success in the design of interconnect and packaging technologies for sophisticated electronic systems and integrated circuits.
- Description: A program for optimizing the design of VLSI power distribution I/O rings. Common problems of ground bounce and simultaneous switching noise are easily debugged and solutions found by fast turn around what-if analysis.
- RMxprtÖ
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: Easily create your electric machine cross-section with RMxprt. Here a permanent magnet synchronous motor
is shown.
- Safari
- HPL: Leading provider of yield enhancement and failure analysis solutions for the IC industry.
- Description: Safari - Test quality assessment and optimization for realistic faults for integrated circuits.
- Saturn
- Avant! Corporation: a
- Description: Saturn(TM) gives designers the ability to meet timing requirements in VDSM designs with a single pass, eliminating the need for time-wasting iterations between synthesis and P&R and costly overdesign.
- Scale
- EXD Technologies Inc: Market/Sale EDA software & Library Characterization Service .EXD Technologies, Inc. offers a total solution for systems on Silicon through our intellectual property development, physical library development and CAE tool development. We present design methodology consultation, contract design services and do original ASSP development.
- Description: Scale combines the target process' design rules with the cell definitions and creates process-specific, optimized cells. Scale supports multiple layout styles, allowing it to be integrated into diverse design environments.
- Scepter Software
- Royal Digital Centers, Inc
- Description: Scepter Software is a highly developed vertically integrated set of
engineering and manufacturing tools that provide ironclad control
during the complete PCB development and manufacturing cycle.
- Schem-Convert
- Philsar Electronics Inc: IC design and CAE tool design. We are in the process of developing a Cadence to/from Pspice
schematics database translator for the Solaris(TM) platform. The goal is to allow circuit electronic cell design in the Pspice environment using the schematic entry and simulation capabilities of Pspice. After the initial design, the intent is to transfer the schematic to the Cadence Composer database for final electrical design, physical design and verification. The software is a combination of C code, Perl scripts and Cadence Skill code and these tools will be commercially available on the Sun(TM) SPARC platform.
- Description: Schem-Convert takes schematic diagrams from Windows-based stations and converts them to Unix CAD tools formats.
- SERIES IV
- Agilent Technologies: Agilent Technologies is a diversified technology company that provides enabling solutions to high-growth markets within
the communications, electronics, life sciences and healthcare industries.
- Description: SERIES IV, UNIX-based EDA software developed for
High-frequency design engineer. Includes system and circuit
simulators, schematic capture, layout, and 42,000 part libraries.
- SES/workbench
- SES, Inc.: SES Inc. is a global leader in performance services and technology. The company creates value for its customers by providing world-class solutions for analysis, implementation, and operation management of complex software and hardware systems. SES is differentiated by our extensive technology and expertise, specifically in the areas of performance modeling and simulation, and by our commitment to train and transfer our knowledge to our clients, empowering them to solve even more problems. Based in Austin, Texas, the company has more than 60 employees in offices around the world.
- Description: SES/workbench is used to solve architectural and design problems of hardware, software and networks, particularly performance and resource allocation problems.
- SI Report
- Simplex Solutions Inc.: Electronic computer aided design software
- Description: SI Report applies signal-integrity criteria - coupling capacitance, drive strength of victim and aggressor nets, and load - to all nets, and identifies "signal-integrity critical" nets.
- SI2D
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: Ansoft SI2D is a 2D structure electromagnetic field simulator. It is our 2D simulation software solution
for your high speed signal integrity design needs.
- SI3D
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: Full 3D electromagnetic field simulation and SPICE analysis for high speed signal integrity design
- SIGMAPro
- Berkeley Technology Associates: Deep submicron device modeling and circuit reliability simulation leader.
- Description: SIGMAPro is a unique statistical modeling and analysis tool. SIGMAPro provides powerful database and statistical capabilites for the semiconductor industry without the complicated user interfaces or steep learning curves of othe software.
- Signalscan
- Design Acceleration Inc. (DAI)
- Description: SignalScan is a waveform software tool allowing the analysis of
simulation results, graphically. It uses the SST file format, which
is optimized for the fast storage and retrieval of simulation data.
- SIGNALSCAN PRO
- Design Acceleration (UK)
- Description: Waveform viewing tool for Verilog simulators.
- Slam
- Stabie-Soft: Physical design software
- Description: Slam is a mask layout editing system that allows display to multiple X Servers concurrently. Design review teams can review data at multiple campuses.
- SmartSpice
- SILVACO International: Silvaco provides a large range of software for the semiconductor and EDA market place.
Including: Layout, Interconnect, Circuit Simulators and TCAD tools.
- Description: SmartSpice is an analog circuit simulator. SmartSpice is a simulation tool that designs and tests
circuits befor building them.
- Sneak Path Analyzer
- Mentor Graphics Corporation: Mentor Graphics Corporation (NASDAQ:MENT) is a world leader in electronic hardware and software design solutions, providing products and consulting services for the worlds largest electronics and semiconductor companies. Established in 1981, the company reported revenues over the last 12 months of $437,751,000 and employs approximately 2,581 people worldwide. Company headquarters are located at 8005 S.W. Boeckman Road, Wilsonville, Oregon 97070-7777. World Wide Web site: http://www.mentorg.com.
- Description: Sneak Path Analyzer automates traditional manual wire harness testing and provides an easy-to-use graphical interface so design engineers can quickly detect unintended current paths and inadvertent power-to-power and ground-to-ground circuits early in the design cycle.
- Solidify
- HDAC, Inc.: HDAC, Inc., founded in 1997, is a privately held EDA company pioneering the new market for static functional verification. The company provides Solidifyùinteractive analysis software for RTL designers that doesn't use vectors, finds bugs faster, and eliminates unnecessary simulation. HDACÆs products are easily incorporated into synthesis and IP reuse design flows.
- Description: Solidify(TM), is an interactive, easy-to-use design tool for static functional verification. RTL designers, architects, and validation engineers building complex ICs and IP blocks will improve design quality, shorten design cycles, and eliminate unnecessary simulation with Solidify.
- Sonics Integration Architecture
- Sonics Inc: Radically new SOC integration technology that automatically creates a highly configurable inter-core communication system for complex, real-time data flows, while enabling true IP block reuse.
- Description: Sonics Integration Architecture provides high-level system integration technologies for the integration of single-chip systems.
- Sonnet
- SPAYN
- SILVACO International: Silvaco provides a large range of software for the semiconductor and EDA market place.
Including: Layout, Interconnect, Circuit Simulators and TCAD tools.
- Description: SPAYN is a statistical analysis package for the semiconductor
industry.
- SPEC's Freedom Libraries
- Systems Processing Engineering Corporation (SPEC)
- Description: SPEC's Freedom Libraries are a set of fully characterized cell
libraries for Gallium Arsenide (GaAs) ASIC design. It is implemented
in E/D MESFET technology.
- SpeedSim/3
- Quickturn (The Advaced Simulation Division)
- Description: SpeedSim/3 is a digital logic software simulator. It uses Cycle-based
techniques and is 10 to 100X faster than most of the current
generation of Verilog simulators.
- SpiceCut
- Legend Design Technology Inc: Legend Design Technology Inc. is a leader in circuit reduction for 'critical-path' circuit simulation, including embedded memory and system-on-chip designs. Its mission is to be a one-stop shop of all circuit simulation (e.g. Spice) related tools for deep submicron designs.
With enormous data generated by RC extraction tools, analyzing the results is quite difficult. Legend's SpiceCut family enables designers to reduce data without compromising accuracy, to retain parasitic information without hindering simulation performance, and to extract critical paths with proper loading and coupling terms.
- Description: Legend Design Technology Inc. is a leader in circuit reduction for 'critical-path' circuit simulation, including embedded memory and system-on-chip designs. Its mission is to be a one-stop shop of all circuit simulation (e.g. Spice) related tools for deep submicron designs.
- Spike Technologies Consulting Services
- Spike Technologies Inc: VLSI Design and Software Services. We provide a rich and diverse set of consultants for software development annd ASIC/cell design.
- Description: VLSI Design and Software Services. We provide a rich and diverse set
of consultants for software development and ASIC/cell design.
- SPIRAL
- OEA International, Inc.: OEA International, Inc. designs and licenses state-of-the-art signal integrity software for the electronic design automation (EDA) industry. OEA's software is designed to be extremely high performance and handle very complex models with a high degree of accuracy. OEA products are used to substantially increase engineering productivity and first time success in the design of interconnect and packaging technologies for sophisticated electronic systems and integrated circuits.
- Description: SPIRAL is a three-dimensional program for the design, characterization and optimization of etched spiral inductors on silicon, GaAs, ceramic, MCMs and PCBs.
- SQL Object
- ADNT
- Description: Dynamic SQL Interface for SNAPIX X11/Motif programs.
- SSUPREM3
- SILVACO International: Silvaco provides a large range of software for the semiconductor and EDA market place.
Including: Layout, Interconnect, Circuit Simulators and TCAD tools.
- Description: SSUPREM3, based on Stanford's SUPREM3, is a one-dimensional (1-D)
process simulator providing 1-D simulations of all common integrated
circuit processing steps.
- Star 1000
- Valor Computerized System Inc.: Valor Computerized Systems is a world leader in the development of multi-platform, EDA/CAD/CAM solutions to the PCB layout design and PCB assembly and manufacturing markets.
- Description: The Star 1000 sets a new technological standard in on-line multi-site automatic data management for the electronics industy. It delivers bullet-proof storage, accurate, fast retrieval & valuable info. analysis.
- STAR-CD
- Computational Dynamics Ltd
- Description: STAR-CD is a CFD code system for the calculation of fluid flow, heat and mass transfer and chemical reaction in industry and the environment. It is applicable to most types of flows, including steady or transient, laminar or turbulent, incompressible or compressible and single/multiphase or multiple stream.
- SUE Design Cockpit
- Micro Magic Inc: We sell EDA design Tools and provide IC Design Methodology Consultation as well as Design Services for Processors, Graphics and other advanced ICs
- Description: EDA Software, Consultation and Design contract services, provision of Iintellectual Property
- Supermax E-CAD
- Dansk Data Elektronik A/S: DDE is an IT solutions company. DDE develops, markets and supports solutions in
industries like library and EDA - Electronic Design Automation. DDE also markets
& supports SoftBASE an ERP system.
- Description: Supermax E-CAD, a dedicated tool for PCB, MCM and Hybrid layout fully
integrated with CAE/CAM, is capable of handling the most sophisticated
circuits around.
- SureCov
- SureFire Verification, Inc.: SureFire is a leading supplier of EDA tools for verifing the correctness of Verilog designs.
- Description: SureFire Verification develops tools for hardware design verification, and performs hardware design verification consutling services.
- Symphony
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: Ansoft Symphony is a wireless and wired system simulator. It is our desktop system simulation software solution for your high frequency, RF & wireless design needs.
- Synplify
- Synplicity, Inc.: Logic synthesis software (EDA Software)
- Description: Synplify is a logic synthesis tool that synthesizes Verilog&VHD design into small,high-performance FPGAs & CPLDs.
- Synspec
- EXD Technologies Inc: Market/Sale EDA software & Library Characterization Service .EXD Technologies, Inc. offers a total solution for systems on Silicon through our intellectual property development, physical library development and CAE tool development. We present design methodology consultation, contract design services and do original ASSP development.
- Description: An automatic library synthesizer.
- SystemModeler
- TransModeling: TransModeling Inc. makes EDA tools enabling engineers to produce very high speed C/C++ models. TransModeling also allows existing HDL models to be simulated in a parallel-distributed fashion using industry standard HDL simulators. Parallel-distributed HDL simulation dramatically increases HDL simulation speeds.
- Description: The SystemModeler enables engineers to develop very high speed C/C++ models and to incrementally develop HDL models that execute in a parallel-distributed environment.
- Tauri
- FTL Systems Inc: FTL Systems, Inc. is a technology leader in parallel compiler technology for the electronic design automation industry.
- Description: Source Code Analyzer for VHDL, VHDL-AMS, Verilog and SPICE
- TDS
- Fluence Technology, Inc.: FluenceÖ Technology Inc addresses the need for a high-quality, integrated design and test environment by delivering a comprehensive, structured approach for design analysis and automated test generation for mixed-signal devices. Our robust product suite offers the breakthrough technology needed for Mixed-Signal Design and Test Automation (MSDTA). In 1978, we pioneered the development of commercial digital test development tools as Test Systems Strategies Inc. (TSSI). Since then, we have become the standard-bearer for design-to-test translation tools independent of the EDA and ATE vendor. Fluence has acquired or developed strategic alliances with companies to provide digital and analog fault analysis, automated test generation and built-in-self-test (BIST) capabilities. We are the sole provider of an integrated suite of MSDTA solutions that improve the quality and lower the costs of electronic products developed by leading electronics and automotive companies.
- Description: Software tools that link between Electronic Design Automation (EDA) and Automatic Test Equipment (ATE).
- TDS
- Fluence Technologies Inc.: Electron Test Software.
- Description: Electronic Test
- TDX
- Fluence Technology, Inc.: FluenceÖ Technology Inc addresses the need for a high-quality, integrated design and test environment by delivering a comprehensive, structured approach for design analysis and automated test generation for mixed-signal devices. Our robust product suite offers the breakthrough technology needed for Mixed-Signal Design and Test Automation (MSDTA). In 1978, we pioneered the development of commercial digital test development tools as Test Systems Strategies Inc. (TSSI). Since then, we have become the standard-bearer for design-to-test translation tools independent of the EDA and ATE vendor. Fluence has acquired or developed strategic alliances with companies to provide digital and analog fault analysis, automated test generation and built-in-self-test (BIST) capabilities. We are the sole provider of an integrated suite of MSDTA solutions that improve the quality and lower the costs of electronic products developed by leading electronics and automotive companies.
- Description: TDX is a DFT software toolset that provide full-timing fault analysis, ATPG, IDDq, and other digital design verification capabilities.
- TEAMS
- Qualtech Systems, Inc.: QSI's Integrated Diagnostics tool set consists of tools for Design for Testability (DFT), Reliability Analysis, Failure Modes and Effects Analysis (FMEA), real-time monitoring and field maintenance.
- Description: QSI's integrated
diagnostics tool set consists of tools for Design for Testability (DFT), Reliability Analysis, Failure Modes and Effects Analysis (FMEA),
real-time monitoring and field maintenance.
- TeamSolutions
- Spectragraphics
- Description: TeamSolutions collaborative engineering software lets user communicate
with their product teams anytime, anywhere, worldwide; and to share,
package, and send complex realtime and time-independent information.
- TED (Thermo-Electronic Designer)
- Pultronics: Well experienced in both analog and digital integrated circuit design, Pultronics has become a provider of design and test services for high-performance applications.The company specializes in the design of high performance RF, digital and mixed signal circuits.
Pultronics develops also a specialized software to support high performance design environment and to fulfill the gap of missing analysis capabilities. The first Pultronisc' software permits on integration of thermal analysis into the standard design cycle.
- Description: TED is a CAD tool modeling the effects of temperature distribution on a die surface and integrates thermal analysis into a standard IC design flow.
- Tempest-Block
- Sycon Design Inc.: Sycon Design Inc is a supplier of next generation, library generation, placement and routing, and tools for the high performance, custom integrated circuit designers.
- Description: Tempest Block is hierarchical placement and routing tool for high performance IC design
- Tempest-Cell
- Sycon Design Inc.: Sycon Design Inc is a supplier of next generation, library generation, placement and routing, and tools for the high performance, custom integrated circuit designers.
- Description: Tempest Cell is a layout synthesis tool for library development
- TeraForm
- Tera Systems Inc: EDA software and services for IC design.
- Description: The Tera Systems TeraForm RTL design planner pinpoints critical paths before synthesis, conquers SOC complexities, and eliminates back-end timing-convergence problems. Plan your next design. TeraForm your chip.
- TeraForm
- Tera Systems, Inc.: EDA Software for IC design.
- Description: The Tera Systems TeraForm RTL design planner pinpoints critical paths before synthesis, conquers SOC complexities, and eliminates back-end timing-convergence problems. Plan your next design. TeraForm your chip.
- TestBencher Pro
- SynaptiCAD Inc: SynaptiCAD Inc. offers a complete line of VHDL and Verilog model generation, Verilog simulation, and timing diagram visualization tools
- Description: TestBencher Pro generates reactive Verilog and VHDL test benches and bus-functional models from language-independent timing diagrams
- TestEnvironment
- Integrated Measurement Systems (Ims): IMS helps semiconductor manufacturers develop superior IC products in less time and at a reduced cost, while enhancing their competitiveness and profitability. IMS products include digital, analog and memory IC validation systems to verify and characterize complex electronic devices, as well as Virtual Test products for test development and device debug before first silicon.
- Description: Test View provides a graphical interface for Test Station functions plus the ability to use/control external instrumentation for mixed signal test applications.
- TimberWolf
- InternetCAD.com, Inc.: InternetCAD.com, Inc. is a software development company that specializes in providing CAD (Computer Automated Design) tools for IC (integrated circuit) designers.
- Description: Itools is a complete timing driven placement and global routing tool with a detailed routing package that is applicagble to row based and building block design styles.
- TimingDesigner
- Chronology Corporation: Chronology is a leading provider of Testbench Automation products and graphical interface specification and analysis products
- Description: An interactive timing diagram editor and interaction timing analysis tool. It can be used at every stage of the design process, allowing
an engineer to uncover potential timing problems.
- tlogic
- Translogic Technology, Inc.: TTI licenses it's Intellectual Property for Semiconductor Companies, along with enabling software
- Description: tlogic is a software program which enables utilization of TTI's patented Mcell technology in other vendor's integrated circuit synthesis software.
- TMC(TM) Memory Compiler
- Virage Logic Corporation: Virage Logic is a leader in low power ASCI ware. We provide libraries, memory compilers and I/Os for leading edge system-on-a-chip ASCIs.
- Description: Testable Memory Cores (TMC) from Virage Logic provides ASIC vendors and designers a complete memory core which is optimized for high performance, small area, low power, test and manufacturing.
- TopProtect
- Topdown Design Solutions: Topdown develops and markets electronic design automation (EDA) tools based on sophisticated model generation technology called Cyclops. The CyclopsPro line accelerates the performance of event-driven simulators, while TopProtect generates protected models for secure IP distribution.
- Description: TopProtect automatically generates protected simulation models for valuable electronic IP. A single model runs on multiple platforms, further simplifyng distribution and support.
- Turbo Package Analyzer
- Ansoft Corporation: Ansoft software is used by engineers in the design of electrical devices and systems, enabling design engineers to develop smaller systems, with higher performance and greater yields.
- Description: Turbo Package Analyzer automatic 3D package analyzer.
- TurboCheck
- Syntest Technologies, Inc.: SynTest Technologies Inc., "the testability company", develops, markets, and supports the industry's most complete line of advanced Design for Test (DFT) tools and services for integrated circuit designers and test engineers. The company maintains headquarters in Sunnyvale CA, and sales and support offices in Japan, Taiwan, and Korea. SynTest was founded in January 1990, and is privately held.
- Description: TurboCheck is testability analysis tools
- TurboScan
- Syntest Technologies, Inc.: SynTest Technologies Inc., "the testability company", develops, markets, and supports the industry's most complete line of advanced Design for Test (DFT) tools and services for integrated circuit designers and test engineers. The company maintains headquarters in Sunnyvale CA, and sales and support offices in Japan, Taiwan, and Korea. SynTest was founded in January 1990, and is privately held.
- Description: TurboScan is an advanced full-scan and partial-scan test suite. It includes a Scan Synthesizer and Automatic Test
Pattern Generator (ATPG).
.
- Tuxedo-LEC
- Verplex Systems, Inc.: Verplex Systems, Inc. is the leading suplier of formal verification solutions for electronic industry.
- Description: Verplex's Logic Equivalence Checker (LEC) is a new generation equivalence-checking solution for verifying high-capacity, high-complexity chip designs.
- Tuxedo-LTX
- Verplex Systems, Inc.: Verplex Systems, Inc. is the leading suplier of formal verification solutions for electronic industry.
- Description: Verplex's Tuxedo-Logic Transistor Extraction (LTX) is a supplement to Tuxedo-LEC. For transistor design verification, Tuxedo-LTX takes in your Verilog or Spice transistor designs and automatically extracts the transistor logic into a gate-level representation for mapping and comparison.
- Ultima Millennium Delay Calculator
- Ultima Interconnect Technology: EDA Software
- Description: Millennium, from Ultima Interconnect Technology, is the industry-leading delay analysis tool.
Millennium computes highly accurate cell and interconnect delays. Today, many
leading semiconductor companies count on Millennium to perfect the timing of their most advanced ICs.
- Ultima Parasitic Reduction
- Ultima Interconnect Technology: EDA Software
- Description: Ultima-PR reduces extracted resistance and capacitance data to decrease the computational burden off timing simulators and to reduce the disk storage required to run and store netlists.
- Undertow
- Veritools
- Description: Undertow is a powerful wave form display program for viewing digital
and analog simulation output from a variety of tools including
Verilog, TIMEMILL, POIWERMILL, and HSPICE.
- UNIC-CFD
- Engineering Sciences Inc: ESI develops and markets advanced thermal-fluid analysis software products, the UNIC CFD packages. UNIC products are commercially available in North America, Europe and Asia.
- Description: UNIC is a fully integrated CFD engineering analysis tool designed to solve a wide range of thermal-fluid problems using the state-of-the-art computational methods and physical submodels.
- UTMOST
- SILVACO International: Silvaco provides a large range of software for the semiconductor and EDA market place.
Including: Layout, Interconnect, Circuit Simulators and TCAD tools.
- Description: UTMOST is a general purpose data acquisition and parameter extraction tool.
- V-CPU Pro
- Summit Design, Inc.: Summit Design develops, manufactures and markets software solutions for design entry, verification, analysis, legacy code reuse, co-verification, code coverage and design capture.
- Description: V-CPU Pro allows C and HDL models to be mixed, enabling tradeoffs between very-high-performance simulation and implementation-level detail.
- v2v Translators
- Alternative System Concepts (ASC), Inc.: Provider of high level EDA solutions including HDL translations, rule checking (US only), boundary scan test insertion, low power optimization, and XML technology for EDA.
- Description: Hardware description language (HDL) translators from VHDL or Verilog to the other HDL.
- VCAP
- Source III, Inc.
- Description: VCAP is a comprehensive simulation data comparison and analysis
program.
- Vera
- Systems Science Inc.
- Description: Vera: High level test bench and verification system.
- VeriCov
- Simulation Technologies, Corp.
- Description: VeriCov is a Verilog HDL code coverage tool for measuring the quality
of simulation tests applied to a Verilogy design description.
- Verigen-Veritest
- Library Technologies: We develop tools for cell design, circuit optimization, model generators for popular simulators and synthesis tools like Verilog, Synopsys, ALF, vital, TLF etc.. We offer modeling and characterization tools for custom blocks, cell and interconnect delay calculators, and gate level power and supply current simulators. Power modeling and characterization, power and delay optimization are our strengths.
- Description: Verigen and VeriTest generate verilog models and test benches for their verification taking as input ACDL and state dependency analysis results of stategen.
- verigen-veritest
- Library Technologies, Inc.: We develop tools for cell design, circuit optimization, model generators for popular
simulators and synthesis tools like Verilog,
Synopsys, ALF, vital, TLF etc.. We offer
modeling and characterization tools for custom blocks, cell and interconnect delay
calculators, and gate level power and supply
current simulators. Power modeling and characterization, power and delay optimization are our strengths.
- Description: Verigen and VeriTest generate verilog
models and test benches for their verification taking as input ACDL and
state dependency analysis results of stategen.
- Verilog PLI multibit executable
- Mitsubishi DECE: Develops design and ECAD software and Verilog models for use in automating the design process by major systems companies.
- Description: PLI routine used to decrease clock routing in an ASIC design.
- VeriLogger Pro
- SynaptiCAD Inc: SynaptiCAD Inc. offers a complete line of VHDL and Verilog model generation, Verilog simulation, and timing diagram visualization tools
- Description: VeriLogger Pro is a new type of Verilog simulation environment that combines all the features of a traditional Verilog simulator with the most powerful graphical test vector generator on the plane.
- VeriSure
- TransEDA Inc: TransEDA's mission is to develop innovative EDA products for VHDL and Verilog users with particular emphasis on achieving dramatic improvements in productivity, risk reduction and quality.
- Description: VeriSure is a code coverage analysis tool that gives critical feedback during test case development and answers key quality
questions.
- Veritime Timing Analyzer
- Cadence Design Systems, Inc
- Description: Veritime Timing Analyzer detects all timing errors without needing
test vectors.
- VerysysProver
- Verysys Design Automation: Eletronic Design Automation
- Description: Within this family we have two products called StructureProver and DesignProver that are for functional equivalence checking. The third product is PropertyProver that is a comprehensive model checker.
- VGEN
- Source III, Inc.
- Description: VGEN is a high-level behavioral language for creating simulation
stimulus files.
- VHDL - Bridge
- Interra Inc: Interra is a software solution provider for design automation needs of EDA and semiconductor vendors.
- Description: A highly intelligent Verilos to VHDL translator that preseveres RTL compliance.
- VHDL Design Toolbox
- T. J. Systems: EDA company provides next generation HDL-based design tools that run on network and multi-CPU systems environment.
- Description: VHDL Design Toolbox is a suite of electronic design automation
programs which help VLSI circuit designers design and verify the
functionality and performance of sub-micron ASIC and ASSP designs.
- VHDL Training and Consulting
- Jim Lewis and Associates: ASIC, FPGA, and EDA consultanting focused on HDL design and development of custom EDA programs and scripts
- Description: VHDL Hardware Synthesis Training. ASIC, FPGA and EDA consulting focused on HDL design and development of custom EDA programs and scripts.
- VHDLCover
- TransEDA Inc: TransEDA's mission is to develop innovative EDA products for VHDL and Verilog users with particular emphasis on achieving dramatic improvements in productivity, risk reduction and quality.
- Description: VeriSure is a code coverage analysis tool it gives critical feedback during test case development and answers key quality
questions.
- VirSim(TM)
- Summit Design, Inc.: Summit Design develops, manufactures and markets software solutions for design entry, verification, analysis, legacy code reuse, co-verification, code coverage and design capture.
- Description: Provides an integrated set of waveform and virtual schematic debug and analysis tools for use with leading Verilog simulators.
- Virtual-CPU(TM) (VCPU)
- Summit Design, Inc.: Summit Design develops, manufactures and markets software solutions for design entry, verification, analysis, legacy code reuse, co-verification, code coverage and design capture.
- Description: Allows embedded-system designers to analyze and validate the interaction between hardware and software.
- Visual HDL (TM)
- Summit Design, Inc.: Summit Design develops, manufactures and markets software solutions for design entry, verification, analysis, legacy code reuse, co-verification, code coverage and design capture.
- Description: Summit Design develops, manufactures and markets software solutions for design entry, verification, analysis, legacy code reuse, co-verification, code coverage and design capture.
- Visual IP(TM)
- Summit Design, Inc.: Summit Design develops, manufactures and markets software solutions for design entry, verification, analysis, legacy code reuse, co-verification, code coverage and design capture.
- Description: Finally, IP creators can provide their customers with more than just a protected simulation model.
- Visual Testbench(TM)
- Summit Design, Inc.: Summit Design develops, manufactures and markets software solutions for design entry, verification, analysis, legacy code reuse, co-verification, code coverage and design capture.
- Description: Enables engineers to graphically describe and validate device cycle specifications as part of testbench development.
- Visula
- Zuken-Redac Group Limited: Zuken-Redac leads the world in the PCB/MCM design CAD/CAM market.
- Description: Visula is a fully integrated family of electronic design products for complex
digital PCB/MCM design.
- Vital Bridge
- Interra Inc: Interra is a software solution provider for design automation needs of EDA and semiconductor vendors.
- Description: A highly intelligent tool to generate vital models for Verilog Asic Woraries.
- VMK
- Qualis Design Corporation: Qualis Design offers EDA training, consulting and software development for the high-technology industry.
- Description: Makefile generator for VHDL simulation.
- VoltageStorm
- Simplex Solutions Inc.: Electronic computer aided design software
- Description: VoltageStorm is the only full-chip power grid IR drop analysis product that combines both static and dynamic power grid analysis, and power grid electromigration analysis.
- VOV
- Runtime Design Automation: Develops and markets the technology for run-time design management
- Description: VOV captures the dependencies among all files in a design. The dependency graph is used to offer traceability, status information,
conflict detection, and automatic scheduling of tools on the LAN.
- VTRAN
- Source III, Inc.
- Description: VTRAN is a vector translation program which maps simulation data from
one CAE environment to another.
- VtV(TM) - Verilog to VHDL Translator
- Continuum Technologies Inc: Continuum Technologies is a design/consulting company focused on the ASIC and EDA industry. Our first product is a Verilog to VDHL HDL Translator. We provide ASIC/IP/Core design (Verilog/VDHL and Logic Synthesis based) and EDA tools/utility development services.
- Description: Verilog to VHDL Translator, VtV(TM), is a Behavioral-level translator supporting most of Verilog language, outputing IEEE-1076 compatible VHDL. (including synthesizable VHDL support).
- Vulcain
- Memscap Inc: Developers and distributors of Mems design software.
- Description: Design, analysis and layout software for mems.
- Vulcain
- memscap inc: developer and distributor mems cad software
- Description: design, analysis and layout software for mems.
- VWF Automation Tools
- SILVACO International: Silvaco provides a large range of software for the semiconductor and EDA market place.
Including: Layout, Interconnect, Circuit Simulators and TCAD tools.
- Description: Virtual Wafer Fab (VWF) is an advanced semiconductor process and
device simulation environment allowing experimentation analogons to
split lot processing in a semiconductor fab.
- VWF Interactive Tools
- SILVACO International: Silvaco provides a large range of software for the semiconductor and EDA market place.
Including: Layout, Interconnect, Circuit Simulators and TCAD tools.
- Description: These are a suite of tools to support simulation including run time environemnts and interactive result viewers
- VYPER
- SILVACO International: Silvaco provides a large range of software for the semiconductor and EDA market place.
Including: Layout, Interconnect, Circuit Simulators and TCAD tools.
- Description: VYPER integrates the UTMOST and SmartSpice tools and includes SPAYN for
parametric analysis and SPICE model generation.
- Watt Watcher
- Sente, Inc.: Sente Inc is an EDA software developer and marketeer.
- Description: Power Analysis for System-on-a-Chip Design Power dissipation is an increasing concern in "system-on-a-chip" (SOC) designs. Typically 80% of the power in these designs is determined at the RTL stage or earlier ╬ so any effective power action must be taken early, before synthesis. Sente, Inc.
- WaveFormer Pro
- SynaptiCAD Inc: SynaptiCAD Inc. offers a complete line of VHDL and Verilog model generation, Verilog simulation, and timing diagram visualization tools
- Description: WaveFormer Pro combines a timing diagram editor, a VHDL/Verilog/SPICE stimulus generator, and an interactive HDL simulator for faster designs with fewer mistakes.
- Wavetool
- Narrow Gauge EDA: Narrow Gauge Sells Wavetool Software -
A New Generation Integrated Circuit Design and Library Characterization System.
- Description: Wavetool
-Is a next-generation
-circuit analysis and
-characterization
system. It provides
new circuit
modelling
capabilities that
makes it flexible for
many types of
circuits and
systems.
- WaveTools
- Z CIRCUIT AUTOMATION: Electronic Design Automation (EDA) engineering products specializing in simulation, analysis, layout, and synthesis support.
- Description: WaveTool provides a powerful and easy to use simulation post-processing environment using graphical and form driven specification of measurements on any combination of simulation results.
- xCalibre
- Mentor Graphics Corporation: Mentor Graphics Corporation (NASDAQ:MENT) is a world leader in electronic hardware and software design solutions, providing products and consulting services for the worlds largest electronics and semiconductor companies. Established in 1981, the company reported revenues over the last 12 months of $437,751,000 and employs approximately 2,581 people worldwide. Company headquarters are located at 8005 S.W. Boeckman Road, Wilsonville, Oregon 97070-7777. World Wide Web site: http://www.mentorg.com.
- Description: Mentor Graphics' xCalibre takes the risk out of Deep Submicron. The standard open architecture meets your parasitic extraction, data management and post layout analysis challenges.
- xgeom
- Sonnet Software Inc
- Description: xgeom is a graphical interface/polygon editor for em, Sonnet
Software's electromagnetical analysis tool for the simulation of
3-D planar circuits (vias represent the third dimension).
- XSIM
- Axis Systems: Develop electronic design automation software and hardware.
- Description: XSIM is a high performance,IEEE compliant Verilog simulator. It offers superior performance and fast function clusure capability.
Copyright
1999 Sun Microsystems, Inc., 901 San Antonio Road, Palo Alto, CA 94303 USA.
All rights reserved.